-瞬开延断开关.doc

-瞬开延断开关.doc

ID:57434606

大小:141.00 KB

页数:11页

时间:2020-08-18

-瞬开延断开关.doc_第1页
-瞬开延断开关.doc_第2页
-瞬开延断开关.doc_第3页
-瞬开延断开关.doc_第4页
-瞬开延断开关.doc_第5页
资源描述:

《-瞬开延断开关.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、太原科技大学课程设计电子技术课程设计瞬开延断开关学院:华科学院专业、班级:电气工程及其自动化082201h姓名:常晓超学号:200822050101指导教师:柴婷婷2010年12月-11-太原科技大学课程设计目录--瞬开延断开关一、设计任务与要求-----------------------------(2)二、总体框图-----------------------------------(2)三、选择器件-----------------------------------(3)四、功能模块-----------------------------------(4)

2、五、总体设计电路图-----------------------------(8)六、心得体会----------------------------------(10)-11-太原科技大学课程设计一、设计任务与要求设计题目:瞬开延断开关设计一个按钮开关:该开关在按钮第一次按下时,输出信号X和Y瞬时变为高电平;在第二次按钮按下时,输出信号x瞬时变为低电平,但是输出信号y在延时90s后,才变为低电平。若x控制投影仪的灯泡,y控制投影仪的风扇,则该开关就是投影仪的开关。该控制器如图所示:二、总体框图设计完成的总体方案是控制器和一个90进制计数器。-11-太原科技大学课程设

3、计1、控制器的具体功能为:当a第一次按下时,x、y和t都瞬时变为高电平,此时无论td是高还是低电平都没有任何影响。当a第二次按下时,x和t瞬时变为低电平,y不变依然是高电平,此时若td输入低电平则y变为低电平。下表为控制器的特性表:2、90进制计数器的具体功能为:当rst=0且en=1的时候,器件随clk的1Hz脉冲频率在第一个90s后,ct输出从低电平变为高电平,在第二个90s后,ct输出从高电平变为低电平,循环往复,依次类推。当rst=1时则计数清零。当en=0时则计数暂停。下表为90进制计数器的特性表:三、选择器件使用Altera公司出品的EPF10KLC84-

4、4。其芯片的内部原理图为:其芯片的引脚图如下:-11-太原科技大学课程设计四、功能模块1、控制器模块(1)、控制器的逻辑功能:一是要进行二分频,使x,y和t在a按第一次的时候变成高电平,在a按第二次的时候变成低电平。二是要使y受td和a的共同影响,当a按第一次的时候,且无论td是什么电平,y均变成高电平;当a按第二次的以后,y随(nottd)变化。(2)、控制器的VHDL语言程序为:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.

5、all;entityshejiis-11-太原科技大学课程设计port(a,td:instd_logic;x,y,t:outstd_logic);endsheji;architectureoneofshejiisbeginprocess(a,td)variables:std_logic_vector(1downto0);variabletemp:std_logic;variablec:std_logic;beginifa'eventanda='1'thentemp:=nottemp;endif;x<=temp;t<=temp;ifa='1'thenc:='1';end

6、if;iftd='1'anda='0'thenc:='0';endif;y<=c;endprocess;endone;(3)、控制器模块生成的符号为:(4)、控制器的仿真图如下:-11-太原科技大学课程设计图中可看出:一、不论y为何电平,当a按下时,变为高电平。二、a按下第一次时x和t瞬时变为高电平;a按下第二次时x和t瞬时变为低电平。三、当y变为高电平后,只有当td为高电平时才变为低电平。(5)、硬件验证时管脚分配图如下:使用EPF10KLC84-4管脚定义说明:a=>35、td=>36、x=>51、y=>52、t=>53。(6)、在实验箱上得到了满意的结果。2、9

7、0进制计数器模块(1)、90进制计数器的逻辑功能:当rst为高电平的时候,计数器清零当en为低电平的时候,计数器暂停。当rst为低电平,且en为高电平的时候,ct端在90s后变为高电平,再90s后变为低电平,循环往复。(2)、90进制计数器的VHDL语言程序为:libraryieee;useieee.std_logic_1164.all;libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityfenpinisport(en,rst,clk:instd_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。