EDA报告:智力竞赛抢答器.doc

EDA报告:智力竞赛抢答器.doc

ID:57631493

大小:387.50 KB

页数:11页

时间:2020-08-29

EDA报告:智力竞赛抢答器.doc_第1页
EDA报告:智力竞赛抢答器.doc_第2页
EDA报告:智力竞赛抢答器.doc_第3页
EDA报告:智力竞赛抢答器.doc_第4页
EDA报告:智力竞赛抢答器.doc_第5页
资源描述:

《EDA报告:智力竞赛抢答器.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、燕山大学EDA课程设计报告书题目:智力竞赛抢答器姓名:韩承姣、彭赛、袁广召班级:电子信息工程2班学号:120104020045120104020046120104020047成绩:11一、设计题目及要求题目名称:智力竞赛抢答器要求:1.10人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;同时用两位数码管显示抢中的选手编号(01号~10号),无人抢答时显示00。3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用一位数码管倒计时间,0、9、8…1、0;倒计时到0的时候,蜂鸣器响两秒。5.设置加分和扣分按钮,答

2、对一题按一次加分键加2分,答错按一次扣分键扣1分;用两位数码管显示得分情况,注意:显示其中一名选手的得分即可。二、设计过程及内容(包括总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)10人抢答电路模块显示组号模块倒计时模块喇叭模块分频模块加减分模块扫描电路模块由上图可知我们的总电路由七个模块组成,下面来分别介绍一下它们11模块一:10人抢答电路模块功能:该模块中主持人开关负责清零,平时状态下为低电平使74273芯片一直处于清零状态,抢答无效;当该开关为高电平时开始抢

3、答,i号抢答后,相应的Qi会输出高电平,经过反相器后变为低电平,再经过与门后,与门输出变为低电平,该低电平与时钟信号相与之后仍为低电平,此时74273芯片停止工作,其他人抢答无效,第一轮抢答结束。使主持人开关处于低电平,为第二轮抢答做准备。(在电路图中的D触发器为防抖动电路)电路图:仿真结果:11仿真结果说明:当可以抢答时,10,9,8,7,都抢答,最终显示的是最先抢答的10号,其余抢答都没有效。模块二:分频模块功能:该模块利用3个74160芯片来构成0~365循环,当给进脉冲为366HZ时,该模块的输出进位1即为1HZ,此时分频后信号的每个周期为1s,为以后1

4、0s倒计时做准备。(因为该模块原理简单不再附相应电路图)模块三:喇叭模块功能:当有人抢答时,T触发器的脉冲控制端由低电平变为高电平,此时T触发器触发,Q由0变为1,蜂鸣器开始发出响声,74160芯片开始工作进行0~2的循环,当输出端为0010时(此时QB输出为1),QB的输出经过反相器变为0作用在LDN端使芯片清零,同时到达T触发器的CLRN端使触发器清零,也使蜂鸣器停止发出响声。在该过程中蜂鸣器共响了两秒。(该电路中QB的输出端后经过的D触发器是为了滤除毛刺确保QB的输出端不再有其他状态。)电路图:11仿真结果:仿真结果说明:8号抢答后,喇叭响了2秒。模块四:

5、组号显示该图有10个输入端,8个输出端,中间由几个或门连接,一次只会有一个信号输入,当其中一个有信号输入时,通过几个或门,输出信号为二进制该组号,其中4个为个位,另外4个为十位。如:当7号有信号输入时,后方输出为00000111;当10号有信号输入时,后方输出为00010000。该图用来分别显示抢答的组号。11模块五:扫描器该图由一个八进制,四个8位数据选择器,一个7448译码器,一个与非门组成,有一个时钟信号,。数据选择器上为各个需要选择的输入信号。数据选择器上有各个需要选择的信号输入段,当有时钟信号是,开始运作,八进制数输出S1、S2、S3由000开始运行,

6、当到达111时,通过与非门信号输出0进入八进制器使重新从000开始运行。如当S1、S2、S3为010时,4个8位数据选择器上输入段A1、B1、C1、D1信号输入可以通过数据选择器接入到译码器中,通过译码器输出端的7个接口接到数码管上来显示这4个信号显示的数值。而S1、S2、S3输出接到数码管上的3个选择接口使数码管应该显示的数码管显示。11模块六:倒计时模块倒计时模块要实现的功能为,从有人抢答开始,用一位数码管倒计时间,0、9、8…1、0;倒计时到0的时候,蜂鸣器响两秒。要实现倒计时功能,则需要由74191组成的十六进制加减法计数器,74191计时器保留预制置数

7、端,预置数为1010,CP信号端输入1Hz的触发脉冲信号;要实现蜂鸣器响两秒,需要由74160组成的十进制加法计数器,74160计时器保留预制置数端,预置数为0000,CP信号端输入1Hz的触发脉冲信号。设计电路如图111当bian输入由低电平变为高电平时(即有人抢答时),T触发器由初状态0翻转为1,此时开始倒计时,由10倒计时到0。74191输出信号经或门输出到D触发器中,同时74191的输出信号用或非门输入到74160,倒计时结束即输出为0000时,或门输出信号经D触发器延迟(使74191倒计时时可以显示出0)后输入到T触发器的CLRN端使T触发器清零,74

8、191停止倒计时;同时,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。