VHDL与Verilog中的同步和异步复位.doc

VHDL与Verilog中的同步和异步复位.doc

ID:57691288

大小:13.00 KB

页数:2页

时间:2020-09-01

VHDL与Verilog中的同步和异步复位.doc_第1页
VHDL与Verilog中的同步和异步复位.doc_第2页
资源描述:

《VHDL与Verilog中的同步和异步复位.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、这两种复位方式的区别主要看是否需要时钟的参与:异步复位不需要时钟的参与,只要复位信号一有效就立即执行复位操作;同步信号需要时钟参与,只有当时钟有效沿来时,复位信号才有效。同步复位的最大好处是有效防止复位信号的毛刺引起的误复位操作,只要毛刺不在时钟有效沿附近出现,就不会影响电路正常工作;而若是异步复位,其复位信号的毛刺会立即引起电路复位。异步复位可以在没有时钟的情况下完成复位,所以可以使电路在上电的时候完成对系统的复位,而且异步复位所消耗的资源比同步复位少。一般的,只要能保证复位信号的稳定,我们建议使用异步

2、复位。我们看一下同步和异步复位在VHDL和Verilog下的实现细节:VHDL:PROCESS(clk,reset)—同步复位BEGINIf(rising_edge(clk))thenIf(reset=’1’)then—复位信号在时钟有效沿下进行判断--执行复位操作Endif;Endif;ENDPROCESS(clk,reset)—异步复位BEGINIf(reset=’1’)then—复位信号在不需要在时钟有效沿下进行判断--执行复位操作elsif(rising_edge(clk))then……….End

3、if;ENDVerilog:always@(posedgeclkorposedgereset)//异步复位,在敏感信号里加复位信号if(reset)begin//执行复位操作endelsebegin……endalways@(posedgeclk)//同步复位,在敏感信号不用加复位信号if(reset)begin//执行复位操作endelsebegin……end

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。