电子系统设计键盘.doc

电子系统设计键盘.doc

ID:57817381

大小:742.50 KB

页数:10页

时间:2020-03-30

电子系统设计键盘.doc_第1页
电子系统设计键盘.doc_第2页
电子系统设计键盘.doc_第3页
电子系统设计键盘.doc_第4页
电子系统设计键盘.doc_第5页
资源描述:

《电子系统设计键盘.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、电子系统设计实验报告姓名杨熙丞指导教师贾立新专业班级自动化1201学院信息工程学院一.设计题目设计一4*4矩阵键盘编码器,其示意图如图所示。Y0到Y3为4路列扫描信号输出线,轮流将每一列置为低电平。X0到X3为4根行输入线,当没有键按下时,X0到X3被上拉电阻拉成高电平。当有键按下时,在列扫描信号的作用下,闭合键对应的行输入线变为低电平。当按键有效时,输出4位键值B3到B0,同时键值有效信号DAV产生由高到低的跳变。KEYCLK为键盘接口的时钟信号.二.设计方案掌握利用可编程逻辑器件设计编码式键盘接口的方法。1.键盘编码器实验电路由键盘编码器模块和显示模块组成。显示模块主要是对数码管的编译。4

2、*4矩阵键盘编码器应由键盘扫描电路,行值编码器,消抖电路几部分组成。键盘扫描电路由2位二进制计数器CNT4A和2线-4线译码器DECODER构成。行值编码器由ENCODER组成。消抖电路的按键是否稳定闭合是通过一个具有异步清零和保持功能的16进制计数器CNT16A来检测的。消抖按键的原理如图1所示。2.实验板连接图如图2所示。KEYCLK来自LED模块的CLKIN,用短路块选择频率为2048Hz。3.FPGA管脚锁定参考图3和4。图1消抖电路图2编码式键盘实验连接图图3FPGAI/O引脚分配图(注意:其中分配使用的是键盘行输入信号以及键盘列扫描信号即X0-X3和Y0-Y3)图4LED模块管脚锁

3、定图(注意:AA0-AA6显示键值,CC0-CC6显示按键次数并检验防抖效果)三.原理图设计根据设计题目给出的功能要求,4*4矩阵键盘编码器应由键盘扫描电路,行值编码器,消抖电路几部分组成,其原理框图如图5所示。图54*4矩阵键盘编码器原理图设计好4*4矩阵键盘编码器后,加入十六进制计数器CNT16,显示译码器7SLED.构成了4*4矩阵键盘编码器测试电路顶层原理图。图64*4矩阵键盘编码器测试电路顶层原理图四.单元电路设计1.列扫描计数器CNT4Alibraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ent

4、itycnt4aisport(clk:instd_logic;en:instd_logic;q:bufferstd_logic_vector(1downto0));endcnt4a;architectureoneofcnt4aisbeginprocess(clk,en)beginif(clk'eventandclk='1')thenif(en='1')thenq<=q+1;endif;endif;endprocess;end;2.2-4译码器DECODER的设计libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.a

5、ll;entityDECODERisport(a:instd_logic_vector(1downto0);y:outstd_logic_vector(3downto0));endDECODER;architectureoneofDECODERisbeginy(0)<='0'whena=0else'1';y(1)<='0'whena=1else'1';y(2)<='0'whena=2else'1';y(3)<='0'whena=3else'1';end;3.优先编码器ENCODER的设计设I0到I3为键输入信号,低电平有效,优先级次序为I0最高,I3最低。y1,y0为编码输出,KA为键检测信号

6、。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityencoderisport(i0,i1,i2,i3:inbit;y0,y1,ka:outbit);endencoder;architectureoneofencoderisbeginy1<=(i0andi1and(noti2))or(i0andi1and(noti3));y0<=(i0and(noti1))or(i0andi2and(noti3));ka<=i0andi1andi2andi3;end;4.消抖计数器CNT16A的设计消抖计数器

7、CNT16A实际上是一个具有清零和保持功能的十六进制加法计数器。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityCNT16Aisport(clk,clr:instd_logic;dav:outstd_logic);endcnt16a;architectureoneofCNT16Aissignal

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。