常见组合逻辑电路ppt课件.ppt

常见组合逻辑电路ppt课件.ppt

ID:58798879

大小:1.63 MB

页数:106页

时间:2020-10-03

常见组合逻辑电路ppt课件.ppt_第1页
常见组合逻辑电路ppt课件.ppt_第2页
常见组合逻辑电路ppt课件.ppt_第3页
常见组合逻辑电路ppt课件.ppt_第4页
常见组合逻辑电路ppt课件.ppt_第5页
资源描述:

《常见组合逻辑电路ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、3若干常用的组合逻辑电路常见的组合逻辑电路有加法器、编码器、译码器、数值比较器、数据选择器、函数发生器、奇偶校验器/发生器等。3.1编码器编码:编码就是用二进制码来表示每个给定的信息符号。编码器:实现编码的电路称编码器。信息符号可以是十进制数符0,1,2,…,9;字符A,B,…,Z,a,b,…,z;运算符“+”,“-”,“=”或其它符号等。编码器通常有二进制编码器,十进制编码器及优先编码器等。下面举两个典型编码器来说明编码器的工作原理。普通编码器普通编码器就是在任何时刻只允许输入一个编码信号,否

2、则输出将发生混乱。现以3位二进制普通编码器为例,分析一下普通编码器的工作原理。如下图所示。8线---3线编码器框图输入:I0~I78个高电平信号输出:3位二进制代码y2y1y0三位二进制编码的真值表根据真值表写出输出逻辑函数表达式:如果任何时刻当中仅有一个取值为1,即输入变量取值的组合仅有真值表中列出的8种状态,则输入变量为其他取值下其值等于1的那些最小项均为约束项。根据逻辑表达式很容易画出它的逻辑图,它由三个或门组成。图三位二进制编码器2.优先编码器在优先编码电路中,允许同时输入两个以上的编码

3、信号。不过在设计优先编码器时已经将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码。图3.3.13是典型的二进制编码器。该电路有8条数据输入线0~7,数字最大的优先权最大。也就是说,当某时刻同时输入两个以上信号,其中有一个是7号线,那么应优先考虑7号数据线的编码。在7号线已编了码,或者暂时不需要编码的情况下剩余优先权最大就应该轮到6号线了,依次类推。图8线-3线优先编码器(74LS148)若不考虑控制电路,则可以得到输出的逻辑式为了扩展电路的功能和增加使

4、用的灵活性,在74LS148的逻辑电路中附加了由门G1、G2和G3组成的控制电路。其中为选通输入端,只有在=0的条件下,编码器才能正常工作。而在=1时,所有的输出端均被封锁在高电平。上式表明,只有当所有的编码输入端都是高电平(即没有编码输入),而且S=1时,才是低电平。因此,的低电平输出信号表示“电路工作,但无编码输入”。这说明只要任何一个编码输入端有低电平信号输入,且S=1,即为低电平。因此,的低电平输出信号表示“电路工作,而且有编码输入”。根据上述分析,将输出函数与输入变量列成真值表。如下所

5、示。[例]试用两片74LS148接成16线—4线优先编码器,将16个低电平输入信号编为0000~1111十六个4位二进制代码。其中的优先权最高,的优先权最低。如下图所示。优先编码器可以扩展,现将两片8输入优先编码器扩展成16输入优先编码器。(1)(2)按照优先顺序的要求,只有均无输入信号时,才允许对的输入信号编码。因此,只要把第(1)片的“无编码信号输入”信号作为第(2)片的选通输入信号就行了。当第(1)片有编码信号输入时它的=0,无编码信号输入时=1,正好可以用它作为输出编码的第四位,以区分8

6、个高优先权输入信号和8个低优先权输入信号的编码。编码输出的低3位应为两片输出、、的逻辑与非。当中任一输入端为低电平时,例如=0,则片(1)的=0,=1,=100。同时片(1)的=1,将片(2)封锁,使它的输出=111。在最后的输出端得到=1011。如果中同时有几个输入端为低电平,则只对其中优先权最高的一个信号编码。当全部为高电平(没有编码输入信号)时,片(1)的=0,故片(2)的=0,处于编码工作状态,对输入的低电平信号中优先权最高的一个进行编码。例如:=0,则片(2)的=010。而此时片(1)

7、的=1,=0。片(1)的=111。于是在输出得到了=0101。3.3译码器译码是编码的逆过程,即将二进制数码还原成给定的信息符号(数符、字符、运算符或代码等)。能完成译码功能的电路称译码器。根据需要,输出信号可以是脉冲,也可以是高电平或低电平。译码器的种类很多。但它们的工作原理和分析方法大同小异。变量译码器、码制变换译码器和显示译码器是三种最典型、使用十分广泛的译码电路。一、二进制译码器二进制译码器的输入是一组二进制代码,输出是一组与输入代码一一对应的高、低电平信号。以3线-8线译码器为例说明变

8、量译码器的工作原理。设输入是3位二进制代码A2A1A0,输出是其状态译码Y0~Y7。图3线-8线译码器框图输入输出A2A1A0Y7Y6Y5Y4Y3Y2Y1Y00000000000100100000010010000001000110000100010000010000101001000001100100000011110000000表3.3.7真值表图用与非门组成的3-8线译码器74LS138当附加控制门的输出的电平使电路工作时74LS138有3个附加的控制端、、,当=1、==0时,输出为高电平

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。