EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt

EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt

ID:58885324

大小:2.00 MB

页数:87页

时间:2020-09-30

EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt_第1页
EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt_第2页
EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt_第3页
EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt_第4页
EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt_第5页
资源描述:

《EDA技术实用教程第五章_VHDL设计初步ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第5章VHDL设计初步什么是VHDL?VeryhighspeedintegratedcircuitHardwareDescriptionLanguage(VHDL)是IEEE、工业标准硬件描述语言用语言的方式而非图形等方式描述硬件电路容易修改容易保存特别适合于设计的电路有:复杂组合逻辑电路,如:译码器、编码器、加减法器、多路选择器、地址译码器…...状态机等等……..VHDL作用1)VHDL打破软、硬件的界限传统的数字系统设计分为硬件设计(硬件设计人员)软件设计(软件设计人员)是电子系统设计者与EDA工具

2、之间的界面EDA工具及HDL的流行使电子系统向集成化、大规模和高速度方向发展。美国硅谷约有80%的ASIC和FPGA/CPLD已采用HDL进行设计。2)VHDL与C、C++的比较C、C++代替汇编等语言VHDL代替原理图、逻辑状态图等3)VHDL与电原理图描述的比较VHDL具有较强抽象描述能力,可进行系统行为级的描述。描述更简洁,效率更高。VHDL描述与实现工艺无关。电原理图描述必须给出完整的、具体的电路结构图,不能进行抽象描述。描述复杂,效率低。电原理图描述与实现工艺有关。VHDL的功能和标准VHDL描

3、述输入端口输出端口电路的行为和功能VHDL有过两个标准:IEEEStd1076-1987(calledVHDL1987)IEEEStd1076-1993(calledVHDL1993)WhyusingVHDLinsteadofGraphicEasytoModifyItismorepowerfulthanGraphicVHDLisaportablelanguagebecauseisdeviceindependentthesamecodecanbeappliedtoDevicemanufacturedbyCo

4、mpanyAorCompanyB【例5-1】ENTITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINy<=aWHENs='0'ELSEb;ENDARCHITECTUREone;实体结构体5.1多路选择器VHDL描述图5-1mux21a实体图5-2mux21a结构体5.1.12选1多路选择器的VHDL描述5.1.12选1多路选择器的VHDL描述【例5-2】ENTITYmux21a

5、ISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISSIGNALd,e:BIT;BEGINd<=aAND(NOTS);e<=bANDs;y<=dORe;ENDARCHITECTUREone;【例5-3】...ARCHITECTUREoneOFmux21aISBEGINy<=(aAND(NOTs))OR(bANDs);ENDARCHITECTUREone;5.1.12选1多路选择器的VHDL描述【例5-4】E

6、NTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;5.1.12选1多路选择器的VHDL描述图5-3mux21a功能时序波形5.1.2VHDL相关语句说明1.实体表达【例5-5】ENTITYe_nameISPORT(p_name:

7、port_mdata_type;...p_namei:port_midata_type);ENDENTITYe_name;或:【例5-6】ENTITYe_nameISPORT(p_name:port_mdata_type;...p_namei:port_midata_type);ENDe_name;5.1.2VHDL相关语句说明2.实体名3.PORT语句和端口信号名4.端口模式INOUTINOUTBUFFER5.数据类型BIT5.1.2VHDL相关语句说明6.结构体表达【例5-7】ARCHITECTURE

8、arch_nameOFe_nameIS(说明语句)BEGIN(功能描述语句)ENDARCHITECTUREarch_name;或:【例5-8】ARCHITECTUREarch_nameOFe_nameIS(说明语句)BEGIN(功能描述语句)ENDarch_name;7.信号传输(赋值)符号和数据比较符号5.1.2VHDL相关语句说明8.逻辑操作符AND、OR、NOT9.IF_THEN条件语句10.WHEN_ELSE条件信号赋

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。