第7章 硬件描述语言简介ppt课件.ppt

第7章 硬件描述语言简介ppt课件.ppt

ID:59016764

大小:1.94 MB

页数:275页

时间:2020-09-26

第7章 硬件描述语言简介ppt课件.ppt_第1页
第7章 硬件描述语言简介ppt课件.ppt_第2页
第7章 硬件描述语言简介ppt课件.ppt_第3页
第7章 硬件描述语言简介ppt课件.ppt_第4页
第7章 硬件描述语言简介ppt课件.ppt_第5页
资源描述:

《第7章 硬件描述语言简介ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第七章硬件描述语言简介7.1VHDL语言简介7.2VerilogHDL语言简介7.1VHDL语言简介7.1.1VHDL概述1.VHDL的发展史VHDL是美国国防部在20世纪70年代末80年代初提出的VHSIC(VeryHighSpeedIntegratedCircuit)计划的产物,1981年提出了一种新的硬件描述语言,称为VHSICHardwareDescriptionLanguage也就是我们熟知的VHDL。2.采用VHDL语言的硬件电路设计方法VHDL设计数字系统一般采用自上而下(TopDown)的分层设计方法。所谓自上而下的设计方法,就是从系

2、统总体出发,自上而下地逐步将设计内容细化,最后完成系统硬件的整体设计。(1)第一层行为描述,就是对整个系统的数学模型进行描述。(2)第二层RTL方式描述,即寄存器传输描述,也称为数据流描述。(3)第三层逻辑综合,就是利用逻辑综合工具,将RTL方式描述的程序转换成用基本元件表示的文件(门级网表)。3.采用VHDL语言设计硬件电路的优点与其它HDL语言相比,VHDL语言具有以下优点:(1)设计技术齐全,方法灵活,支持广泛。(2)系统硬件描述能力强,能支持硬件的设计、验证、综合和测试,是一种多层次的硬件描述语言。(3)VHDL语言编程可以与工艺无关。(4)

3、VHDL语言标准、规范,易于共享和重复利用。4.VHDL语言的词法规则、标识符及保留字在编写VHDL语言程序时,必须遵循VHDL语言的词法规则。VHDL语言中有一些保留字,这些保留字不能用作信号名或实体名。1)词法规则(1)注释。为了提高VHDL语言源程序的可读性,在VHDL中可以写入注释。注释以“--”开头,直到本行末尾。例如:--8BITCOUNTER(2)数字。数字型文字可以有多种表示方式:可以是十进制数,也可以表示为以二进制、八进制或十六进制等为基的数;可以是整数,也可以是含有小数点的浮点数。十进制:12_245=12345实数:必须带有小数

4、点。如:12.0,0.0等。基表示:2#1110_1001#,16#AB0#E1等。(3)字符和字符串。字符是用单引号引起来的ASCII字符,可以是数值,也可以是符号或字母,如‘0’,‘E’,‘A’等。字符串是一维的字符数组,需放在双引号中(4)下标名和段名。下标名用于指示数组型变量或信号的某一元素。段名则表示数组型变量或信号的某一段元素。举例:2)标识符标识符是最常用的操作符,可以是常数、变量、信号、子程序或参数的名字。VHDL’93对VHDL’87版本的标识符语法规则进行了扩展,通常称VHDL’87版本标识符为短标识符,VHDL’93版本标识符为

5、扩展标识符。(1)短标识符必须以英文字母开头;英文字母、数字和下划线都是有效的字符;短标识符不区分大小写;下划线(_)的前后都必须有英文字母或数字;不能和VHDL的保留字重名。(2)扩展标识符举例:(3)VHDL语言的保留字看书P1657.1.2VHDL语言程序的基本结构一个完整的VHDL语言程序通常包含实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)和库(Library)五部分。1.VHDL语言设计的基本单元及其构成VHDL语言设计的基本设计单元(DesignEntity)是VH

6、DL中最基本的硬件抽象概念(abstraction),它表示一个具有确定的输入输出并完成确定功能的硬件设计部分。1)实体说明实体说明定义了一个给定的设计单元及该设计单元与周围的接口,它具有如下结构:ENTITY实体名IS[类属参数说明][端口说明]END实体名;(1)类属参数说明。类属参数说明是实体说明中的可选项,必须放在端口说明之前,用于指定参数。其书写格式为GENERIC[CONSTANT]名字表:[IN]子类型标志[:=静态表达式],…];(2)端口说明。端口说明是对基本设计实体与外部接口的描述,也可以说是对外部引脚信号的名称、数据类型和输入输

7、出方向的描述,其一般书写格式为PORT(端口名{,端口名}:方向数据类型;…端口名{,端口名}:方向数据类型);·端口名是赋予每个外部引脚的名称,通常用一个或几个英文字母或者用英文字母加数字来命名,如D0,CLK;·端口方向用来定义外部引脚的信号方向是输入还是输出,表示方向的说明符及含义如表7-1所示;·在VHDL中有许多数据类型,但逻辑电路设计中最常用的数据类型为STD-LOGIC和STD-LOGIC-VECTOR。实体说明举例:表7-1端口方向说明2)构造体构造体是一个基本设计单元的实体,它确定基本设计单元的输入输出关系,即它定义了设计单元的具

8、体功能。构造体可用3种方式来描述基本设计单元的输入输出关系,即行为描述、寄存器传输描述和结构描述。由于构造体

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。