基于AlteraFPGA的DSP技术ppt课件.ppt

基于AlteraFPGA的DSP技术ppt课件.ppt

ID:59472493

大小:1.49 MB

页数:91页

时间:2020-09-14

基于AlteraFPGA的DSP技术ppt课件.ppt_第1页
基于AlteraFPGA的DSP技术ppt课件.ppt_第2页
基于AlteraFPGA的DSP技术ppt课件.ppt_第3页
基于AlteraFPGA的DSP技术ppt课件.ppt_第4页
基于AlteraFPGA的DSP技术ppt课件.ppt_第5页
资源描述:

《基于AlteraFPGA的DSP技术ppt课件.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第5章基于AlteraFPGA的DSP技术5.1DSPBuilder5.2用DSPBuilder实现FIR滤波器5.3在DSPBuilder中定制开发板库5.1DSPBuilderAltera为用户提供的完整设计平台包括DSPBuilder、SOPCBuilder和QuartusⅡ软件等开发工具套件,使用户无论在构建FPGA协处理器还是构建专用DSP硬件体系结构时,都能够将可编程逻辑的优势发挥得淋漓尽致。此外,Altera与其合作伙伴提供的DSP知识产权(IP)解决方案组合,可以整合在多种视频、图像处理以及

2、高速数字通信等应用中。AlteraDSPBuilder是连接Simulink和QuartusⅡ开发软件的DSP开发工具。在DSPBuilder的无缝设计流程中,设计人员首先在MATLAB软件中进行算法设计,然后在Simulink软件中进行系统集成,最后将设计输出为硬件描述语言(HDL)文件,以便在QuartusⅡ软件中使用。DSPBuilder工具与SOPCBuilder工具紧密结合,使用户能够构建整合了Simulink设计、Altera嵌入式处理器以及IP内核的系统。对于在使用可编程逻辑设计软件方面缺乏经

3、验的设计人员来说,该设计流程非常方便、直观。DSPBuilder的主要特性如下:(1)实现MATLAB与Simulink软件和QuartusⅡ软件的连接。(2)支持最新的Altera器件系列:CycloneⅡ、CycloneFPGA、StratixⅡ GX、StratixⅡ、Stratix、StratixGXFPGA和HardCopyⅡ结构化ASIC。(3)采用AlteraDSP开发板迅速实现原型设计。(4) HardwareInLoop(HIL)模块加速实现了Simulink系统级协同仿真,并提供了高级调

4、试功能。(5)支持SignalTapⅡ逻辑分析仪,探测DSP板上的Altera器件信号,将数据导入MATLAB工作空间,便于进行直观分析。(6)构建定制逻辑模块,配合NiosⅡ嵌入式处理器和其他SOPCBuilder工作。(7)支持锁相环(PLL)模块。(8)包含状态机模块。(9)支持DSP系统算法和设计实现的统一表征。(10)从MATLAB和Simulink测试向量中自动生成的VHDL测试台文件或者QuartusⅡ向量文件( .vec)。(11)自动启动QuartusⅡ编译。(12)支持比特和周期精度的设

5、计仿真。(13)支持Simulink软件使用的各种定点算法和逻辑操作。Altera可编程逻辑器件中的DSP系统设计需要高级算法设计工具与HDL开发工具的良好配合。AlteraDSPBuilder将MATLAB和Simulink系统级设计工具的算法开发、仿真和验证功能与QuartusⅡ的基于VerilogHDL及VHDL语言的设计流程整合在一起,实现了这些工具的集成。DSPBuilder开发环境界面友好,可帮助设计人员生成DSP设计硬件表征,从而缩短DSP的设计周期。已有的MATLAB函数和Simulink模

6、块可以和AlteraDSPBuilder模块以及Altera知识产权(IP)MegaCore功能相结合,将系统级设计实现和DSP算法开发相连接。DSPBuilder支持系统、算法和硬件设计共享一个公共开发平台。设计人员可以使用DSPBuilder模块迅速生成Simulink系统建模硬件。DSPBuilder包括比特和周期精度的Simulink模块,涵盖了算法和存储功能等基本操作。可以使用DSPBuilder模型中的MegaCore集成复杂的功能。使用DSPBuilder完成设计时,首先在MATLAB/Sim

7、ulink软件中建立模型文件( .mdl),然后DSPBuilderSignalCompiler模块读取由DSPBuilder和MegaCore模块构建的Simulink建模文件( .mdl),生成VHDL文件和工具命令语言(Tcl)脚本,并进行综合、硬件实施和仿真。图5.1所示为DSPBuilder设计流程。图5.1DSPBuilder设计流程DSPBuilder设计从在Simulink中建立设计模型开始,设计模型建立之后,DSPBuilder将设计转换为QuartusⅡ综合与编译或仿真所需要的Veril

8、ogHDL或VHDL硬件描述语言。从设计者的角度看,随后的分析与综合、布局布线及编程等工作均可在DSPBuilder中实现,还可以通过SignalTapⅡ在DSPBuilder中在线调试,这些功能都是通过DSPBuilder调用QuartusⅡ的相关功能来完成的。利用DSPBuilder完成DSP应用设计的具体步骤如下:(1)在MATLAB/Simulink中,用Simulink和DSPBuilder模型库中的模

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。