Verilog-HDL加法计数器的设计.doc

Verilog-HDL加法计数器的设计.doc

ID:60789195

大小:128.50 KB

页数:4页

时间:2020-12-18

Verilog-HDL加法计数器的设计.doc_第1页
Verilog-HDL加法计数器的设计.doc_第2页
Verilog-HDL加法计数器的设计.doc_第3页
Verilog-HDL加法计数器的设计.doc_第4页
资源描述:

《Verilog-HDL加法计数器的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、HarbinInstituteofTechnologyVerilogHDL加法计数器的设计课程名称:数字电子技术基础院系:航天学院班级:班姓名:学号:指导教师:哈尔滨工业大学1设计要求利用VerilogHDL设计一个以自己学号后三位(107)为模的计数器。 2设计内容2.1所使用的软件QuartusII11.0(32-Bit)和ModelSim-Altera6.6d(QuartusII11.0)。2.2设计思想从0计数到106,到106之后同步清零,实现以107为模的计数器。2.3源程序modulecount_107(out

2、,reset,clk);output[7:0]out;inputclk,reset;reg[7:0]out;always@(posedgeclk)beginif((!reset)

3、

4、(out==8'h6A))out<=8'h00;elseout<=out+8'h01;endendmodule2.4仿真电路图RTLViewer仿真电路如图1所示:图1计数器的RTLViewer仿真电路图TechnologyMapViewer仿真电路如图2所示:图2计数器的TechnologyMapViewer仿真电路图2.5TestBenche

5、s程序//Generatedon"12/20/201311:57:54"//VerilogTestBenchtemplatefordesign:count_107//Simulationtool:ModelSim-Altera(Verilog)`timescale1ps/1psmodulecount_107_vlg_tst();regeachvec;regclk;regreset;wire[7:0]out;count_107i1(.clk(clk),.out(out),.reset(reset));initialbegin#

6、1clk=0;#2reset=0;#3reset=1;$display("Runningtestbench");endalways#5clk=~clk;beginendendmodule2.6仿真波形图仿真波形图如图3所示,可以看出计数周期为0~106,实现了以107为模的计数器。图3计数器的仿真波形图

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。