pld应用程序举例.docx

pld应用程序举例.docx

ID:60938476

大小:23.59 KB

页数:36页

时间:2021-01-04

pld应用程序举例.docx_第1页
pld应用程序举例.docx_第2页
pld应用程序举例.docx_第3页
pld应用程序举例.docx_第4页
pld应用程序举例.docx_第5页
资源描述:

《pld应用程序举例.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯精品料推荐⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯PLD段码显示libraryieee;useieee.std_logic_1164.all;entityduanmaisport(fff:outbit_vector(1to5);aaa:outbit_vector(1to5));end;architecturekkofduanmaisbeginfff(1to5)<="11111";aaa(1to5)<="11111";end;PLD三个数加法编程libraryieee;useieee.std_logic_1164.all;entityjwi

2、sport(in1,in2:inintegerrange0to15;a,b:outstd_logic_vector(1to7));end;architectureggofjwissignalhe:integerrange0to45;1⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯精品料推荐⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯beginhe<=in1+in2;withheselecta<="1111110"when0

3、10

4、20

5、30

6、40,"0110000"when1

7、11

8、21

9、31

10、41,"1101101"when2

11、12

12、22

13、32

14、42,"1111001"when3

15、13

16、

17、23

18、33

19、43,"0110011"when4

20、14

21、24

22、34

23、44,"1011011"when5

24、15

25、25

26、35

27、45,"1011111"when6

28、16

29、26

30、36,"1110000"when7

31、17

32、27

33、37,"1111111"when8

34、18

35、28

36、38,"1111011"when9

37、19

38、29

39、39,"0000000"whenothers;withheselectb<="0000000"when0<=heandhe<=9,else"0110000"when10<=heandhe<=19,else"1101101"when20<=heandhe<=29,else"1

40、111001"when30<=heandhe<=39,else2⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯精品料推荐⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯"0110011"when40<=heandhe<=39,else"0000000"whenothers;end;PLD八个按键两个灯libraryieee;useieee.std_logic_1164.all;entityguozi3isport(in1,in2:instd_logic_vector(1to4);a,b:outstd_logic_vector(1to8));end;architectureggofguozi3

41、isbeginwithin1select"0110000"when"0001","1101101"when"0010","1111001"when"0011","0110011"when"0100","1011011"when"0101","1011111"when"0110","1110000"when"0111",3⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯精品料推荐⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯"1111111"when"1000","1111011"when"1001","0000000"whenothers;within2selectb<="0110000"w

42、hen"0001","1101101"when"0010","1111001"when"0011","0110011"when"0100","1011011"when"0101","1011111"when"0110","1110000"when"0111","1111111"when"1000","1111011"when"1001","0000000"whenothers;end;PLD顺序语句编程(0加到9)libraryieee;useieee.std_logic_1164.all;entityjinchenisport(in1:instd_logic;a:outstd_l

43、ogic_vector(1to7));4⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯精品料推荐⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯end;architectureggofjinchenissignalss:integerrange0to9;beginprocessbeginwaituntilin1='1';ss<=ss+1;ifss=10thenss<=0;endif;endprocess;withssselecta<="1111110"when0,"011000

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。