EDA实验实习报告.doc

EDA实验实习报告.doc

ID:61487879

大小:58.00 KB

页数:5页

时间:2021-02-05

EDA实验实习报告.doc_第1页
EDA实验实习报告.doc_第2页
EDA实验实习报告.doc_第3页
EDA实验实习报告.doc_第4页
EDA实验实习报告.doc_第5页
资源描述:

《EDA实验实习报告.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、EDA实习报告2011-2012学年度第一学期学院:吉林大学应用技术学院专业:电子信息工程技术班级:学号:姓名:秦雪君动态扫描电路实验实验目的:1.熟练使用MAX+plusII软件;2、掌握VHDL编写中的一些小技巧。3、掌握简单时序逻辑电路的设计方法与功能仿真技巧。实验内容:运用MAX+plusII软件,采用层次化设计的方法,来设计完成计数长度为0-999的加法计数并驱动数码管显示的电路。实验过程:该计数显示电路结构框图如下图所示:图:计数显示电路结构框图电路由十进制计数器(count999)、七段显示译码器电路(display)和分时总线切换(动态扫描)电路(scan

2、)三个模块构成。1.首先创建如图所示三位十进制计数器电路逻辑图,取文件名为“count999.gdf”,编译成功后,执行菜单命令“File

3、CreatDefaultSymbol”,生成逻辑符号。2.然后创建如图所示七段显示译码器电路逻辑图,取文件名为“display.gdf”,编译成功后,生成逻辑符号。用VHDL语言描述实现上图所示译码器逻辑功能:libraryieee;useieee.std_logic_1164.all;entitydisisport(d3,d2,d1,d0:instd_logic;a,b,c,d,e,f,g:outstd_logic);enddis;

4、architectureaofdisissignaly:std_logic_vector(6downto0);beginprocess(d3,d2,d1,d0)variableq:std_logic_vector(3downto0);beginq:=d3&d2&d1&d0;caseqiswhen"0000"=>y<="";when"0001"=>y<="";when"0010"=>y<="";when"0011"=>y<="";when"0100"=>y<="";when"0101"=>y<="";when"0110"=>y<="";when"0111"=>y<="";w

5、hen"1000"=>y<="";when"1001"=>y<="";whenothers=>y<="";endcase;endprocess;a<=y(0);b<=y(1);c<=y(2);d<=y(3);e<=y(4);f<=y(5);g<=y(6);enda;3.再创建如图所示动态扫描电路逻辑图,取文件名为“scan.gdf”,编译成功后,生成逻辑符号。4.创建如图所示上层电路逻辑图,取名“16.gdf”,编译成功后,下载到器件进行观察分析,检查是否达到了设计要求。实验分析:画好原理图后,下载到老师的机器进行调试仿真时遇到了一些问题,一开始没有达到预想的效果,后来逐

6、步分析三个集成块,用VHDL语言描述与原理图结合,终于实现了预想结果。实验总结:本次实验实现了0-999的加法计数,并在数码管上成功显示。实现了自动加计数和清零功能,使我对MAX+plusII软件的使用更加熟练了,加深了对EDA进一步的了解,让我学到了很多东西,并对它有了更加浓厚的兴趣。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。