QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc

QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc

ID:61760917

大小:889.50 KB

页数:13页

时间:2021-03-19

QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc_第1页
QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc_第2页
QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc_第3页
QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc_第4页
QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc_第5页
资源描述:

《QUARTUSⅡ10波形仿真(ModelSim)入门指南.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、QUARTUSⅡ10仿真(ModelSim)入门指南平台软件:ModelSim-Altera6.5e(QuartusII10.0)StarterEdition内容1设计流程使用ModelSim仿真的基本流程为:图1.1使用ModelSim仿真的基本流程2开始2.1新建工程打开ModelSim后,其画面如图2.1所示。图2.1ModelSim画面 1.选择File>New>Preject创建一个新工程。打开的CreateProject对话框窗口,可以指定工程的名称、路径和缺省库名称。一般情况下,设定DefaultLibraryName为work。指定的名称用于创建一个位于工程文件

2、夹内的工作库子文件夹。该对话框如图2.2所示,此外还允许通过选择.ini文件来映射库设置,或者将其直接拷贝至工程中。图2.2创建工程的对话框 2.按照图2.3所示,设置ProjectName为LED_FLOW,ProjectLocation为D:/led_flow。图2.3输入工程信息 当单击OK按钮后,在主体窗口的下方将出现CreateProject标签,如图2.4所示。 图2.4Project标签 3.之后,将出现AddItemstotheProject的对话框,如图2.5所示。 图2.5在工程中,添加新项目 2.2在工程中,添加新项目在AddItemstotheProje

3、ct对话框中,包括以下选项:·CreateNewFile——使用源文件编辑器创建一个新的Verilog、VHDL、TCL或文本文件·AddExistingFile——添加一个已存在的文件·CreateSimulation——创建指定源文件和仿真选项的仿真配置·CreateNewFolder——创建一个新的组织文件夹1.单击CreateNewFile。打开图2.6所示窗口。图2.6创建工程文件夹 2.输入文件名称:LED_FLOW,然后选择文件类型为Verilog。图2.7输入工程文件信息 3.单击OK,关闭本对话框。新的工程文件将会在工程窗口显示。单击Close,以关闭AddI

4、temstotheProject。图2.8新的设计文件LED_FLOW.v 4.双击打开LED_FLOW.v文件(注意:若是Verilog文件已经关联了其他的文本编辑器,则双击后在关联的文本编辑器中打开)。图2.9LED_FLOW代码输入窗口 在LED_FLOW.v输入下面的测试平台代码:`timescale1ns/1nsmoduleLED_FLOW;regCLOCK_50M;regRST_N;wire[9:0]LED;ledled_inst(.clk_50M(CLOCK_50M),.reset_n(RST_n),.led(LED));initialbeginCLOCK_50M

5、=0;while(1)#10CLOCK_50M=~CLOCK_50M;endinitialbeginRST_N=0;while(1)#10RST_N=1;endinitialbegin$display($time,"CLOCK_50M=%dRST_N=%dLED=%d",CLOCK_50M,RST_N,LED);endendmodule录入完代码后,单击Save。图2.10输入testbench代码 5.选择File>New>Source>Verilog,创建新的Verilog文件,如图2.11所示。图2.11创建新的Verilog文件 6.录入下面的代码,录入画面如图2.12

6、所示。`timescale1ns/1nsmoduleled(inputclk_50M,//Systemclock50MHzinputreset_n,//Systemresetoutputreg[9:0]led//led);reg[13:0]counter=0;reg[3:0]state=0;always@(posedgeclk_50M,negedgereset_n)if(!reset_n)counter<=0;elsecounter<=counter+1'b1;always@(posedgecounter[13])if(!reset_n)state<=0;elsebeginif

7、(state==4'b1001)state<=0;elsestate<=state+1'b1;endalways@(posedgeclk_50M,negedgereset_n)if(!reset_n)led<=0;elsebegincase(state)4'b0000:led<=10'b00000_00001;4'b0001:led<=10'b00000_00010;4'b0010:led<=10'b00000_00100;4'b0011:led<=10'b00000_01000;4'b01

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。