Quartus II设计作业说课讲解.doc

Quartus II设计作业说课讲解.doc

ID:61931937

大小:3.05 MB

页数:50页

时间:2021-03-31

Quartus II设计作业说课讲解.doc_第1页
Quartus II设计作业说课讲解.doc_第2页
Quartus II设计作业说课讲解.doc_第3页
Quartus II设计作业说课讲解.doc_第4页
Quartus II设计作业说课讲解.doc_第5页
资源描述:

《Quartus II设计作业说课讲解.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、__________________________________________________JINGGANGSHANUNIVERSITY《EDA技术》课程作业学院:电子与信息工程学院专业:电子信息科学与技术姓名:班级:11电专学号:指导老师:过继红目录_____________________________________________________________________________________________________________________________________

2、___________________________________________________________________QuartusII设计作业(实验一)班级:11电专班姓名:学号:110921012实验名称:3-8译码器一、实验步骤原理图编辑输入流程1打开原理图编辑窗2建立一个初始原理图3原理图文件存盘4建立原理图文件工程①打开并新建工程管理窗口________________________________________________________________________________

3、____________________①将设计文件加入工程中②选择目标芯片③工具选择____________________________________________________________________________________________________①结束设置5绘制原理图6原理图编译全程编译后无错信息报告____________________________________________________________________________________________

4、________时序仿真1、打开波形编辑器激励信号波形编辑窗口2、设置仿真时间区域____________________________________________________________________________________________________1、波形文件存盘2、将工程的端口信号节点选入波形编辑器中3、编辑输入波形______________________________________________________________________________________

5、______________1、仿真器参数设置2、启动仿真器3、观察仿真结果____________________________________________________________________________________________________仿真结果:由仿真波形可以看出,a,b,c三个输入端,和D0-D7八个输出端,二进制译码。QuartusII设计作业(实验二)班级:11电专班姓名:学号:110921012实验名称:四选一多路选择器一.用IF_ELSE语句的表达方式写的VHDL程序如下

6、:① 四选一多路选择器的VHDL描述如下:libraryieee;useieee.std_logic_1164.all;entitymux41isport(input:instd_logic_vector(3downto0);sel:instd_logic_vector(1downto0);y:outstd_logic);endmux41;architecturertlofmux41isbeginprocess(input,sel)beginif(sel="00")theny<=input(0);elsif(sel="0

7、1")theny<=input(1);elsif(sel="10")theny<=input(2);elsey<=input(3);endif;endprocess;endrtl;② 四选一多路选择器的电路仿真波形图如下图一所示:____________________________________________________________________________________________________图一四选一多路选择器的电路仿真波形图由上图可知:当sel=11时,y=intput3;当sel=

8、10时,y=intput2;当sel=01时,y=intput1;当sel=00时,y=intput0;实现了四选一功能。① 四选一多路选择器的引脚图如下图二:图二四选一多路选择器的引脚图② 编译结果如下图三:图三编译结果________________________________________________

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。