eda实验报告最终版

eda实验报告最终版

ID:63847009

大小:733.04 KB

页数:18页

时间:2021-09-11

eda实验报告最终版_第1页
eda实验报告最终版_第2页
eda实验报告最终版_第3页
eda实验报告最终版_第4页
eda实验报告最终版_第5页
eda实验报告最终版_第6页
eda实验报告最终版_第7页
eda实验报告最终版_第8页
eda实验报告最终版_第9页
eda实验报告最终版_第10页
资源描述:

《eda实验报告最终版》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、A口「d"of目以口「「「qQM'Ld□X实验一妙Cwv)p«Wk

2、6c

3、&Otaw3

4、卷■占加招的RepoESMU&mW..I段口《scImrqQna机btT»dErdMkIpiTimH^i566eInierv4寡i?5e5W8RaZOO.pn>LSO.pu5

5、killhiduTikAAs-TlhIh£J5SinxilationWgEbF,R»

6、:ixtir«dJ

7、i;pj

8、■(不

9、工耳中

10、th[nock:

11、[cT7趣

12、F«ffi曾15m用T。Ml53^7^53^22CtoeKmE3匕第m盼btf_TL

13、TrLTTTTTTTTTTTTTT-_LTTTTTTrTTTTTTTrTTTTTTTTTTTTTrTTTTTTTrL^i-nLrLrLrLrLnLrLrLnr_LrLrLTLrLrLrLrLrLnj-Lru-Lru-LrLnLrLnm实验二1、24进制加法计数器的程序:LIBRARYIeee;USEieee.std_logic_1164.ALL;USEieee.std_logic_unsigned.ALL;ENTITYcount2

14、4ISPORT(en,clk:INSTD_LOGIC;qa:outSTD_LOGIC_VECTOR(3DOWNTO0);--个位数计数qb:outSTD_LOGIC_VECTOR(1DOWNTO0));--十位数计数ENDcount24;ARCHITECTUREa1OFcount24ISBEGINprocess(clk)variabletma:STD_LOGIC_VECTOR(3DOWNTO0);variabletmb:STD_LOGIC_VECTOR(1DOWNTO0);beginifclk'eventandcl

15、k='1'thenifen='1'theniftma="1001"thentma:="0000";tmb:=tmb+1;Elsiftmb="10"andtma="0011"thentma:="0000";tmb:="00";elsetma:=tma+1;endif;endif;endif;qa<=tma;qb<=tmb;endprocess;ENDa1;cdudi24.vhdI◎5"iLil-atS^»TS«tt5«mSimINI2,60进制的加法器的实验程序LIBRARYIeee;USEieee.std_logi

16、c_1164.ALL;USEieee.std_logic_unsigned.ALL;ENTITYcount60ISPORT(en,clk:INSTD_LOGIC;qa:outSTD_LOGIC_VECTOR(3DOWNTO0);-个位数计数qb:outSTD_LOGIC_VECTOR(2DOWNTO0));-十位数计数ENDcount60;ARCHITECTUREa1OFcount60ISBEGINprocess(clk)variabletma:STD_LOGIC_VECTOR(3DOWNTO0);variable

17、tmb:STD_LOGIC_VECTOR(2DOWNTO0);beginifclk'eventandclk='1'thenifen='1'theniftma="1001"andtmb="101"thentmb:="000";tmak"0000";Elsiftma="1001"thentma:="0000";tmb:=tmb+1;elsetma:=tma+1;endif;endif;endif;qa<=tma;qb<=tmb;endprocess;ENDa1;cnWBQh

18、母annterHHSifYtuil#tion

19、W事0『mqSLinmlH.tinvdlflTiniCompildtkH-iRtpori-Fk>w£ummar-uHaslerTimeBar15OXns*

20、

21、^

22、Fomter5B8.D1nsIrtteryd:elktn日jpiBQ.□ri&ISO.paS□TLiJuu.paS4D0.□nx40DOil3SEO.pn±G胃口OxlST2D.pn:IS.EKBu-广针口]-qa⑶-Q*[l1-<]*[□]H曲…⑻-qb[I]-qb[O]iinjinnnrmjuuinjinjuuTOUinjimnjuuumjuuuumn

23、nnjinnnrmjinnnjinjuuTOinnjumnnnjQ蛛庭院懈潦糊总K>1KX施XgX>!X第於在第:姬黛裳稣钦媒渣㈱距X幽桨船哪第幄1li「1rLime口I1I1I1I1I1I1I1T_■n_rLn_n_rLjn_^ru_Lnj_LJr_L_r_LrL_rLnjiTLrLnrLnrLrLrLrLrLrLrmrLrLrLrLrLrLrLnrLrL

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。