南理工电类综合实验报告fm调制解调的数字实现

南理工电类综合实验报告fm调制解调的数字实现

ID:6605104

大小:1.84 MB

页数:12页

时间:2018-01-20

南理工电类综合实验报告fm调制解调的数字实现_第1页
南理工电类综合实验报告fm调制解调的数字实现_第2页
南理工电类综合实验报告fm调制解调的数字实现_第3页
南理工电类综合实验报告fm调制解调的数字实现_第4页
南理工电类综合实验报告fm调制解调的数字实现_第5页
资源描述:

《南理工电类综合实验报告fm调制解调的数字实现》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、《电类综合实验》仿真报告实验课题:FM调制解调的数字实现指导教师:刘光祖学生姓名:院系:电光学院专业:通信与信息系统实验时间:2016.05.09至2016.05.13一、实验背景1.FPGA简介FPGA(Field-ProgrammableGateArray,现场可编程逻辑门阵列)是在PAL、GAL、CPLD等可编程逻辑器件的基础上进一步发展的产物,是专用集成电路领域一种半定制的集成数字芯片,其最大特点是现场可编程,既解决了全定制电路的不足,又克服了原有可编程逻辑器件门电路数有限的缺点。FPGA的内部结构由CLB、RAM、DCM、IOB、Interconnect等构成。如下给出了

2、FPGA的最典型的结构:FPGA开发的一般流程:1(a)1(b)2.DE2-115开发板简介本实验中所用开发板为Altera公司的DE2-115。如下为开发板的配置列表:•AlteraCyclone®IV4CE115FPGA器件•Altera串行配置芯片:EPCS64•USBBlaster在线编程;也支持JTAG和AS可编程方式•2MBSRAM•两片64MBSDRAM•8MBFlashmemory•SD卡插槽•4个按钮•18个滑动开关•18个红色LED•9个绿色LED•50M时钟源•24位音频编解码器,麦克风插孔•电视解码•RJ452G以太网接口•VGA连接器•含有USB_A和US

3、B_B连接器的主从控制器•RS232收发器和9针连接器•PS/2鼠标和键盘连接器•红外接收器ControlPanel是开发板自带的一个工具软件,可以通过该软件提供的图形界面直接对FPGA上的各个外设进行操作。通过该操作可以确认PC机与开发板的连接是否正确,开发板的硬件工作是否正常。ControlPanel的安装过程如下:确保QUARTUSII10.0或以上版本能被成功安装;将开关RUN/PROG切换到RUN位置;将USB接线连接至USB驱动端口,供12V电源并打开开关;打开主机上的可执行文件DE2_115_ControlPanel.exe,controlPanel的用户界面如下:D

4、E2_115_ControlPanel.exe一旦被启动,DE2_115_ControlPanel.sof程序流文件将会被自动加载;如果未连接,点击CONNECT,点sof文件将会重新加载到板子上;注意,控制面板将会占用一直到你关闭那个端口,除非你关闭USB端口,否则你不能使用QUARTUSII来下载文件;控制面板现在可以使用了,通过设置一些LED灯ON/OFF的状态来观察DE2-115上的状态。JTAG配置FPGA如下左图所示:开发板代码设计流程如上右图所示。1.A/D、D/A扩展板AD、DA扩展板是利用HSMC接口进行扩展的子卡,相当于FPGA的一个外设。扩展板提供两路65M,

5、14位采样分辨率的ADC以及两路125M,14位采样精度的DAC。采样时钟设置灵活可以采用多种形式。信号输入和输出采用变压器耦合方式,频率范围为0.1MHz~800MHz。ADC的基本参数:集成双端口14位AD;3V供电(2.7V-3.6V);SNR=71.6dB;固定的模拟输入范围0V~2V二、实验原理本实验利用DE2-115开发板及AD、DA扩展板实现一个数字式FM调制解调器,并要求测试调制解调器的功能和解调性能。1.基本原理FM调制信号的时域及频域波形FM信号的解调方法主要分为以下两种:(1)鉴相法:鉴相法指的是利用鉴相电路将已调载波中的相位信息提取出来,再对相位信息求导即可

6、得到调制信号,鉴相的方法可以鉴相的方法可以是模拟的也可以是数字的。(2)鉴频法:鉴频法指的是利用鉴频器直接将已调载波中的瞬时频率提取出来,鉴频方法主要有两种,一种是将FM信号经过频幅转换网络,转换成调频-调幅波,再经过调幅解调得到调制信号。另一种是将FM信号经过频相转换网络转换成调频-调相波,在经过鉴相器得到调制信号。2.调制实现FM调制的数字实现最直接的方法就是利用DDS技术。DDS是通过控制相位累加器的累加增量值来改变相位累加器的循环频率。再通过相位累加器对波形表进行查表得到所需频率的输出信号。其工作原理决定了我们可以很容易的改变输出波形的频率和相位。基于DDS的基本原理,模拟

7、调制方法中的直接法和间接法在DDS技术中实际上是统一的,因为DDS实现过程中的相位累加器实际上可以看做是一个积分器,而相位累加器的输出实际上就代表了信号的瞬时相位。如下为DDS实现的FM调制器框图:数字FM实现的改进方案:由于FM是一种非线性调制,因此已调信号的频谱宽度会大大展宽,而在实际应用中很多时候通信信道都是带限的,为了防止不同频道之间的干扰对信号的边带抑制都有严格规定,而上述调制的实现方案实际上并没有考虑边带抑制的问题。根据对FM信号的数学表达式的分析在最简单

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。