彩灯控制器eda课程设计

彩灯控制器eda课程设计

ID:6635950

大小:1.08 MB

页数:27页

时间:2018-01-20

彩灯控制器eda课程设计_第1页
彩灯控制器eda课程设计_第2页
彩灯控制器eda课程设计_第3页
彩灯控制器eda课程设计_第4页
彩灯控制器eda课程设计_第5页
资源描述:

《彩灯控制器eda课程设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、课程设计说明书目录1.引言32.EDA技术52.1EDA技术介绍52.2硬件描述语言53QuartusII介绍83.1QuartusII软件介绍83.2QuartusII软件界面介绍93.2.1代码输入界面93.2.2编译界面93.2.3波形仿真界面104系统设计124.1设计过程124.1.1设计内容及要求124.1.2输入与输出说明124.1.3设计过程思路分析124.2程序分析及仿真134.2.1花型控制电路模块134.2.2显示电路模块144.2.3发声电路模块174.3程序仿真图175下载195.1芯片选定195.2引脚设定195.3程序下载20

2、5.4结果显示2027课程设计说明书6设计总结22参考文献23附录:源代码程序2427课程设计说明书1.引言伴随着计算机、集成电路和电子设计技术的发展,当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字本身在不断的进行更新换代。它由早起的电子管、晶体管、小中规模集成电路发展到超大规模集成电路以及许多具有特定功能的专用集成电路。EDA技术在过去的几十年里取得了巨大的进步。EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件便可完成对系统硬件功能的实现。如今,EDA软件工具已经成为电子信息类产品的支柱产业。从高性能的微处理器

3、、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在P哪的制作、电子设备的研制与生产、电路板的焊接、朋比的制作过程等有重要作用。可以说电子EDA技术已经成为电子工业领域不可缺少的技术支持。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下(ToptoDown)和基于库(LibraryBased)的设计的特点。因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统

4、一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。而EDA技术就是以微电子技术为物理层面,现代电子设计为灵魂,计算机软件技术为手段,最终形成集成电子系统或专用集成电路ASIC为目的的一门新兴技术。VHDL的英文全名是VHSIC(VeryHighSpeedIntegratedCircuit)HardwareDescriptiongLanguage,翻译成中文就是超高速集成电路硬件描述语言,诞生于1982年。1987年底,VHDL被美国国防部确认为标准硬件描述语言。

5、自IEEE公布了VHDL的标准版本,IEEE-1076之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。目前,它在中国的应用多数是用

6、在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。随着EDA技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规27课程设计说明书模可编程逻辑器件CPLD/FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。利用VHDL语言设计彩灯控制器设计,使其实现彩灯控制器变形,发声等功能,突出了其作为硬件描述语言的良好的可读性、可移植性和易读性等优点。此程序通过下载到特定芯片后,可应用于实际的控制器系统中。本文基于FPGA开发系统,在QuartusI

7、I7.2软件平台上,完成了自动售货机控制器的设计和与仿真,并下载到试验箱进行硬件实现。首先,本文介绍了QuartusII7.2软件的基本使用方法和VHDL硬件描述语言的特点,采用VHDL硬件描述语言描述自动售货机控制器,完成对电路的功能仿真;在设计过程中,重点探讨了彩灯控制器设计的设计思路和功能模块划分;然后,初步探讨了电路逻辑综合的原理,该软件对彩灯控制器设计电路进行了逻辑综合;最后,使用EDA实验开发系统进行电路的下载和验证,验证结果表明设计的彩灯控制器设计完成了预期的功能。27课程设计说明书2.EDA技术2.1EDA技术介绍EDA是电子设计自动化(E

8、lectronicDesignAutomation)缩写,是90年

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。