基于eda技术的dpsk调制解调系统设计

基于eda技术的dpsk调制解调系统设计

ID:6742627

大小:86.50 KB

页数:10页

时间:2018-01-24

基于eda技术的dpsk调制解调系统设计_第1页
基于eda技术的dpsk调制解调系统设计_第2页
基于eda技术的dpsk调制解调系统设计_第3页
基于eda技术的dpsk调制解调系统设计_第4页
基于eda技术的dpsk调制解调系统设计_第5页
资源描述:

《基于eda技术的dpsk调制解调系统设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、※※※※※※※※※※※※※※※※※※※※※※※※2007级学生数字通信原理课程设计数字通信原理与技术设计报告书课题名称基于EDA技术的DPSK调制解调系统设计姓名李林芳学号-30院系物理与电信工程系专业通信工程指导教师曾专武2010年1月15日10一、设计任务及要求:设计任务:利用EDA技术设计程序将数字基带信号进行DPSK调制和解调的过程仿真出来。设计要求:在对数字通信原理中DPSK调制解调过程的充分理解下熟练地运用VHDL语言设计程序绝对码-相对码转换、CPSK调制、CPSK解调和相对码-绝对转换并仿真出来。指导教师签

2、名:________2010年1月15日二、指导教师评语:指导教师签名:__________2010年1月15日三、成绩验收盖章:__________2010年1月15日10基于EDA技术的DPSK调制解调系统设计-30李林芳(湖南城市学院物理与电信工程系通信工程专业,益阳,)1设计目的通过本课程设计的开展,我能够掌握通信原理中数字信号的DPSK调制和解调,并能用EDA技术进行编程设计并进行软件仿真。2设计要求熟悉用VHDL语言进行程序设计进行数字基带信号的DPSK调制与解调的硬件设计,要求用程序设计绝对码-相对码转换、c

3、psk调制、cpsk解调,相对码-绝对码转换,并进行dpsk调制与解调仿真。3设计原理DPSK(差分相移键控)调制解调通过对未调制基带信号进行绝对码-相对码转换、cpsk调制、cpsk解调,相对码-绝对码转换达成目的。输入基带信号是一串二进制数,绝对码和相对码是相移键控的基础,绝对码是以基带信号码元的电平直接表示数字信息的。如假设高电平代表“1”,低电平代表“0”,相对码是用基带信号码元的电平相对前一码元的电平有无变化来表示数字信息的,假如相对电平有跳变表示“1”,无跳变表示“0”。首先用绝对码表示未调制信号,然后进行绝对

4、码-相对码转换,接着进行CPSK调制,利用载波的不同相位去直接传送数字信息,即与载波进行相位调制,是用数字基带信号控制载波的相位,使载波的相位发生跳变。对二进制CPSK,若用相位π代表“0”码,相位0代表“1”码,即规定数字基带信号为“0”码时,已调信号相对于载波的相位为π;数字基带信号为“1”码时,已调信号相对于载波相位为同相。按此规定,2CPSK信号的数学表示式(1.1)为(1.1)10式中θ0为载波的初相位。受控载波在0、π两个相位上变化。解调时,把相对码从载波上分离恢复出来,必须要先恢复载波,然后把载波与CPSK信

5、号进行比较,才能恢复基带信号。最后进行相对码—绝对码转换,恢复为输入的基带信号。4程序的设计4.1绝对码-相对码转换VHDL程序--文件名:DPSKjuexiang--功能:基于VHDL硬件描述语言,对基带信号进行绝对码到相对码的转换libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityDPSKjuexiangisport(clk:instd_logic;--系统时钟st

6、art:instd_logic;--开始转换信号x:instd_logic;--绝对码输入信号y:outstd_logic);--相对码输出信号endDPSKjuexiang;architecturejuexiangofDPSKjuexiangissignalq:integerrange0to3;--分频器signalxx:std_logic;--中间寄存信号beginprocess(clk,x)--此进程完成绝对码到相对码的转换beginifclk'eventandclk='1'thenifstart='0'thenq<

7、=0;xx<='0';elsifq=0thenq<=1;xx<=xxxorx;y<=xxxorx;--输入信号与前一个输出信号进行异或elsifq=3thenq<=0;10elseq<=q+1;endif;endif;endprocess;endjuexiang;4.2CPSK调制VHDL程序--文件名:CPSK--功能:基于VHDL硬件描述语言,对基带信号进行调制libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_l

8、ogic_unsigned.all;entityCPSKisport(clk:instd_logic;--系统时钟start:instd_logic;--开始调制信号x:instd_logic;--基带信号y:outstd_logic);--已调制输出信号endCPSK;architecturetwoo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。