eda课程设计vhdl语言的简易出租车计费器设计

eda课程设计vhdl语言的简易出租车计费器设计

ID:6781547

大小:88.00 KB

页数:15页

时间:2018-01-25

eda课程设计vhdl语言的简易出租车计费器设计_第1页
eda课程设计vhdl语言的简易出租车计费器设计_第2页
eda课程设计vhdl语言的简易出租车计费器设计_第3页
eda课程设计vhdl语言的简易出租车计费器设计_第4页
eda课程设计vhdl语言的简易出租车计费器设计_第5页
资源描述:

《eda课程设计vhdl语言的简易出租车计费器设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、唐山学院《EDA技术》课程设计题目简易出租车计费器设计系(部)信息工程系班级12电本班姓名学号指导教师2015年7月6日至7月12日共1周2015年7月8日课程设计成绩评定表出勤情况出勤天数缺勤天数成绩评定出勤情况及设计过程表现(20分)课设答辩(20分)设计成果(60分)硬件调试设计说明书总成绩(100分)提问(答辩)问题情况综合评定指导教师签名:年月日目录1引言12计费器的设计22.1设计内容22.2设计要求22.3设计原理22.4各模块的设计32.4.1分频模块32.4.2计程模块42.4.3计费

2、模块42.4.4顶层模块53仿真64引脚的锁定75总结8参考文献9附录10课程设计说明书1引言出租车计费器是出租车营运收费的智能化仪表,是使出租车市场规范化、标准化的重要设备。一种功能完备,简单易用,计量准确的出租车计价器是加强出租车行业管理,提高服务质量的必需品。在科技高度发展的今天,集成电路和计算机应用得到了高速发展。本设计就采用VHDL描述语言,设计出租车计价系统的软件结构,通过QuartusⅡ9.0软件下进行仿真,再在试验箱进行硬件仿真,实现了模拟的简易的出租车计费器。编写程序所用的VHDL语言

3、全名为Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE-1076(简称87版)之后,各EDA公司相继推出自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,简称93版。V

4、HDL和Verilog作为IEEE的工业标准硬件描述语言,得到众多EDA公司支持,在电子工程领域,已成为事实上的通用硬件描述语言。对程序进行编译及仿真用到的的QuartusⅡ9.0软件是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(AlteraHardware支持DescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusⅡ9.0可利用原理图、结构框图、Ve

5、rilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTapII逻辑分析工具进行嵌入式的逻辑分析;自动定位编译错误;此外,QuartusII通过和DSPBuilder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。12

6、课程设计说明书2计费器的设计2.1设计内容(1)设计一个简易的出租车计费系统,实现计价功能,计费标准为按行程里程收费,起步价为6.00元,当里程小于3公里时,按照起价计费,车行超过3公里后在按1.2元/公里收费。(2)实现车辆行驶的模拟:能模拟汽车的启动,停止,暂停等状态。(3)计费器显示部分设计:用LED数码管实时显示车费和汽车行驶里程,用两位数字显示汽车行驶里程,显示方式为“XX”,单位为km。计程范围为0—99km,计程分辨率为1km;用四位数字显示总费用,显示方式为“XX.X”,单价为元。计价范

7、围为0—99.9元,计价分辨率为0.1元。(4)此计费器要设有一个由司机控制的整体复位控制,设定车轮转一圈输出一个脉冲,100个脉冲对应1公里。2.2设计要求(1)根据任务要求确定电路各功能模块(2)写出设计程序(3)给出时序仿真结果(4)实现硬件调试2.3设计原理设计系统的输入信号:时钟脉冲clk,开始计费信号start,复位信号rst,暂停信号stop。输出信号:显示总费用cost0~cost3,显示计程km0和km1。设计两个脉冲cost_clk和mile_clk,其中cost_clk通过分频模块

8、分成8个clk上升沿的脉冲,用来计费,mile_clk是96个clk上升沿的脉冲,用来计程。两个控制输入开关start、stop;控制过程为:start作为计费开始开关,当start为高电平时,stop为低电平时,系统开始根据输入的情况计费。当出租车开始行驶时,mile_clk脉冲到来,进行行驶计程;如果行驶路程小于3公里,则费用显示为起步价6.00元,如果行程大于3公里,则cost_clk开始工作,每来一脉冲则加在起步价上加0.1元。如

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。