《eda应用实训》课程设计-出租车计价表的设计与仿真

《eda应用实训》课程设计-出租车计价表的设计与仿真

ID:6786150

大小:277.50 KB

页数:19页

时间:2018-01-25

《eda应用实训》课程设计-出租车计价表的设计与仿真_第1页
《eda应用实训》课程设计-出租车计价表的设计与仿真_第2页
《eda应用实训》课程设计-出租车计价表的设计与仿真_第3页
《eda应用实训》课程设计-出租车计价表的设计与仿真_第4页
《eda应用实训》课程设计-出租车计价表的设计与仿真_第5页
资源描述:

《《eda应用实训》课程设计-出租车计价表的设计与仿真》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、武汉理工大学《EDA应用实训》课程设计说明书目录1.绪论12.总体设计12.1设计任务及要求12.2设计方案及选择22.2.1用VHDL语言实现计价22.2.2用ABEL语言实现计价22.2.3出租车计价器的实现方案33程序设计43.1里程模块设计43.2等待时间模块73.3计价模块113.4总体模块连线图124程序的调试与仿真分析134.1测试向量的编写134.2仿真波形及分析135总结与体会17参考文献1818武汉理工大学《EDA应用实训》课程设计说明书1绪论随着经济的迅猛发展以及人民生活水平的提高,城市的出租车

2、营运事业发展迅速,出租车已经成为人们日常出行选择较为普通的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是使出租车市场规范化、标准化的重要设备。一种功能完备,简单易用,计量准确的出租车计价器是加强出租车行业管理,提高服务质量的必需品。本设计采用ABEL硬件描述语言作为设计手段,采用自顶向下的设计思路,得到一种出租车计价系统的软件结构,通过软件下进行仿真,证明所设计的电路系统完成了出租车计价的功能,各项指标符合设计要求。该设计虽然功能简单,智能化水平比较低,但仍具有一定的实用性。我们知道出租车的计价器显示的价

3、格与行驶的里程,还有路途中等待的时间见有关,而且随着里程的增加价格会有不同的档位,要自动化控制它的变化需要熟悉它的基本运行环境,掌握它工作的几大模式,等待时间模块,路程模块,计费模块等。在这个设计中,我选用的是ABEL语言设计的出租车计价器,仿真软件选用的是ISPLEVE,设计输入可采用原理图,硬件描述语言,混合输入三种方式,能对所设计的数字电子系统进行功能仿真和时序仿真。使用ISPLEVE时,要先创建工程项目,再绘制顶层原理图,再用ABEL语言编程,接着编译仿真。2总体设计2.1设计任务及要求设计一个TAXI的计价

4、表,按实际行驶里程和等候时间综合计价。计价规则为:1.起步价8元(含4KM),(4Km,7Km]单价1.4元/Km,(7Km,∞)单价2.1元/Km;每行驶500m价格跳一档(7Km以前每档0.7元,7Km之后,1.1元和1元交替);2.行驶速度低于12Km/h时计算等候时间,等候时间每计满3分钟,价格跳一档(跳档规则同上);3.计价不分昼夜模式,价格不设上限;4.车载传感器可提供1m的行驶距离脉冲和实际速度是否达到12Km/h的信号。(视为已知信号,可直接使用)5.分别显示等待时间,行驶路程,价格。18武汉理工大学

5、《EDA应用实训》课程设计说明书2.2设计方案及选择2.2.1用VHDL语言实现计价在QuartusⅡ环境中对出租车自动计费器的各个部分利用VHDL这一硬件描述语言予以设计,生成模块。主要有:运算控制模块、分频器、显示模块这三大部分组成。由顶层模块协调控制完成设计任务。我们知道VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为3种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。

6、但是按设计要求多种时钟信号,并有多种计费标准共同控制计价过程,如果用VHDL语言,则需有限次高速脉冲发生器向一组级联的十进制计数器提供不同费率的计价脉冲,根据里程计价信号、等时计价信号、停车开关状态、当前计费结果等信号,综合考虑,挑选适合次数的计价脉冲,从而实现不同条件的不同计费。这无疑比较复杂,还会在调试中出现各种问题。2.2.2用ABEL语言实现计价在ISPLEVE软件中编写ABEL语言,我们需要在创建好工程项目后,绘制顶层原理图,再用ABEL语言编写原理图能实现的功能,定义好各个元件后,编写测试向量,编译后运行

7、仿真。我们知道ABEL语言的基本结构很简单,就是标题段,定义段,逻辑描述段,结束段还有一个可选择的测试向量段组成。各部分功能很明了,我们可以根据自己的需要定义芯片,为我们所用。但是作图过程比较琐细,我们需要设定每一个管脚,并且连线安排妥当。它的优点是只有清晰的流程,设定好每一部分的功能,不需要繁琐的程序编写就可以实现它的功能,对于我来说,这种方法能更好地理解。2.2.3出租车计价器的实现方案18武汉理工大学《EDA应用实训》课程设计说明书参进量的控制100进制计数器2进制计数器5进制计数器公里整数部分判断计价模块或门

8、与门3进制计数器图2.2.3总体框架当速度低于12km/h时时钟脉冲和里程脉冲同时控制计价器,速度高于那么多时,由里程控制控制计价器。这样的话,我们可以将等待时间脉冲与里程脉冲相或之后的脉冲控制计价器最后一模块。我们根据里程100m的脉冲经一5进制计数器,其进位输出端为500m脉冲,输出的低位正好判断里程小数部分是0,还是0.5,再将500米脉

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。