格雷码、二进制码转换

格雷码、二进制码转换

ID:78621668

大小:977.00 KB

页数:6页

时间:2022-02-04

格雷码、二进制码转换_第1页
格雷码、二进制码转换_第2页
格雷码、二进制码转换_第3页
格雷码、二进制码转换_第4页
格雷码、二进制码转换_第5页
格雷码、二进制码转换_第6页
资源描述:

《格雷码、二进制码转换》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA设计实验第二节格雷码、二进制码转换实验内容1)学习VHDL语言的when…else…和generate并行语句。2)利用when…else…并行语句描述4位格雷码/二进制码转换电路。3)利用generate并行语句描述n位格雷码/二进制码转换电路。4)利用实验箱验证所设计电路的正确性,要求将输入输出的数据用数码管显示。原理4位格雷码转换为二进制达式为:n位格雷码转换为二进制达式为:GENERATE语句标号:for循环变量in离散范围generate并行处理语句;endgenerate标号;管脚配置:输入、输出管脚g0g1g2g3

2、b0b1b2b3原理图管脚PIO0PIO1PIO2PIO3PIO8PIO9PIO10PIO11器件管脚123411323334利用模式5验证电路的正确性JTAGPORT和BYTEBLASTERⅡ连接:短路帽连接到BYBTⅡ:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。