eda课程设计-出租车自动计费器

eda课程设计-出租车自动计费器

ID:795148

大小:296.43 KB

页数:11页

时间:2017-09-05

eda课程设计-出租车自动计费器_第1页
eda课程设计-出租车自动计费器_第2页
eda课程设计-出租车自动计费器_第3页
eda课程设计-出租车自动计费器_第4页
eda课程设计-出租车自动计费器_第5页
资源描述:

《eda课程设计-出租车自动计费器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计课程设计名称:EDA课程设计专业班级:电科1002班学生姓名:XXX学号:2010483602XX指导教师:XXX课程设计时间:2013-2-25~2013-3-8电子信息科学与技术专业课程设计任务书学生姓名XXX专业班级电科1002班学号2010483602XX题目出租车自动计价器设计课题性质A课题来源D指导教师王胜轩同组姓名主要内容设计一个出租车计价器,该计价器计费系统要求:行程3公里内,且等待累计时间3分钟内,起步费10元;3公里以外以每公里1.6元计费,等待累计时间3分钟外以每分钟1.5元计费。并能显示行驶公里数、等待累计时间、总费

2、用。设计的主要技术指标:(1)计价范围:0—999.9元计价分辨率:0.1元(2)计程范围:0—99公里计程分辨率:1公里(3)计时范围:0—59分计时分辨率:1分任务要求(1)制定完整地设计方案,结合框图进行说明。(2)给出主要模块的VHDL程序。(3)如有可能,在实验箱上进行下载验证。(4)给出完整地设计报告。参考文献(1)黄智伟.FPGA系统设计与实践电子工业出版社2005.1(2)焦素敏.EDA课程设计指导书河南工业大学2008.2(3)焦素敏.EDA应用技术清华大学出版社2005.4审查意见指导教师签字:教研室主任签字:张庆辉2013年2

3、月25日一、设计任务及要求设计一个出租车计价器:计费包括起步价、行车里程计费、等待时间计费3部分。用3位数码管显示金额,最大值为999.9元,最小计价单元为0.1元;行程3公里内,且等待时间累计3分钟内,起步价10元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1.5元;用两位数码管显示总里程,最大值为99公里用两位数码管显示等待时间,最大值为59min。 二、设计原理及总体框图出租车自动计费器分为分频模块、控制模块、计量模块、计费模块、译码和显示模块。 A)、设计原理:(1)分频模块分频模块对频率为240HZ的输入脉冲进行分频,得

4、到的频率为16HZ、15HZ、和1HZ的3种频率。该模块产生频率信号用于计费,每个脉冲为0.1元计费控制,其中15Hz信号为1.5元的计费控制,16Hz信号为1.6元计费控制。 (2)计量控制模块计量控制模块式出租车自动计费器系统的主体部分,该模块主要完成等待计时功能、计价功能、计程功能,同时产生3分钟的等待计时使能控制信号en1、行程3公里外的使能控制信号en0。其中计价功能主要完成的任务是:行程3公里内且等待累计时间在3分钟内起步价为10元3公里以外每公里1.6元计费,等待时间3分钟以外每分钟1.5元计费。计时功能主要完成的任务是:计算

5、乘客的等待累计时间,计时器的量程为59分,满量程自动归零。计程主要完成的任务是:计算乘客所行驶的公里数,计价器的量程为99公里,满量程自动归零。(3)译码显示模块该模块利用实验板上的译码器和数码管分别将输出的计费数据(4位BCD码)、计时数据(2位BCD码)和计程数据(2位BCD码)显示输出。其中计费数据最后以百元、十元、元、叫、角为单位对应的数码管上显示,最大显示为999.9元;计时数据最后送至以分为单位对应的数码管上显示,最大显示为59s;计程数据最后送至以公里为单位的数码管上显示,最大公里为99公里。B)、总体框图:根据层次化设计理论将该

6、问题自顶向下可分为分频模块、计量模块、控制模块、译码和显示模块各模块功能如下:三、程序设计及编译仿真1)、分频模块:对频率为240HZ的输入脉冲进行分频,得到的频率为16HZ、15HZ、和1HZ的3种频率。程序如下:libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entityfpisport(clk:instd_logic;q16:outstd_logic;--频率为16的时钟q15:outstd_lo

7、gic;--频率为15的时钟q1:outstd_logic);--频率为1的时钟endfp;architectureqqoffpisbeginprocess(clk)variablecout16:integerrange0to14;variablecout15:integerrange0to15;variablecout1:integerrange0to239;beginif(clk'eventandclk='1')thenifcout16=14--240hz分频为16hzthencout16:=0;q16<='1';elsecout16:=cou

8、t16+1;q16<='0';endif;ifcout15=15--240hz分频为15hzthencout15:=0;q

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。