多路复用信号产生电路的建模与vhdl设计1

多路复用信号产生电路的建模与vhdl设计1

ID:862783

大小:365.53 KB

页数:15页

时间:2017-09-21

多路复用信号产生电路的建模与vhdl设计1_第1页
多路复用信号产生电路的建模与vhdl设计1_第2页
多路复用信号产生电路的建模与vhdl设计1_第3页
多路复用信号产生电路的建模与vhdl设计1_第4页
多路复用信号产生电路的建模与vhdl设计1_第5页
资源描述:

《多路复用信号产生电路的建模与vhdl设计1》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计说明书10-11学年第2学期学院:信息工程学院班级:通信081姓名:学号:题目:多路复用信号产生电路的建模与VHDL设计指导教师:系主任:2012年7月11日引言在通信系统中,为了提高信道的利用率,使多路信号在同一条信道上传输时互相不产生干扰的方式叫做多路复用。在数字通信系统中主要采用时分多路复用(TDM)方式,把时间划分为若干时隙,让多路数字信号的每一路占用不同的时隙,即多路信号在不同的时间内被传送,各路信号在时域中互不重叠。关键词:时分多路原理模型模块建模设计一.时分多路复用(TDM)原理时分多路复用(TDM)是按传输信号的时间进行分割的,它使不同的

2、信号在不同的时间内传送,将整个传输时间分为许多时间间隔,每个时间片被一路信号占用。TDM就是通过在时间上交叉发送每一路信号的一部分来实现一条电路传送多路信号的。电路上的每一短暂时刻只有一路信号存在。因数字信号是有限个离散值,所以TDM技术广泛应用于数字通信系统。图1.1是时分多路复用的原理框图,发送端的各路话音信号经低通滤波器将带宽限制在3400Hz以内,然后加到匀速旋转的电子开关 k1上,依次接通各路信号,它相当于对各路信号按一定的时间间隙进行抽样。k1旋转一周的时间为一个抽样周期T,这样就做到了对每一路信号每隔周期T 时间抽样一次,此时间周期称为1帧长。发送

3、端电子开关 k1不仅起到抽样作用,同时还起到复用和合路的作用。合路后的抽样信号送到编码器进行量化和编码,然后,将信号码流送往信道。在接收端,将各分路信号码进行统一译码,还原后的信号由分路开关k2依次接通各分路,在各分路中经低通滤波器将重建的话音信号送往收端用户。时分多路复用的关键是同步,为了保证正常通信,必须确保收发旋转开关严格同频同相,同频是指旋转开关的旋转速度要完全相同,同相是指当发端旋转开关K1连接第一路信号时,收端旋转开关K2也必须连接在第一路信号上。根据国际电报电话咨询委员会(CCITT)建议,目前TDM采用两种标准系列:一种是欧洲和我们国家所采用的3

4、0路系列,即由32个话路组成一个PCM基群,如图1.2所示;另一种是北美和日本等国所采用的24路体系,即由24个话路组成一个PCM基群。S1(t)S1(t)…Si(t)S3(t)…S’3(t)S’2(t)S’1(t)……N21K1K2N21低通滤波器PCM编码信道PCM解码低通滤波器低通滤波器低通滤波器低通滤波器Si(t)低通滤波器图1.1时分多路复用原理框图f0TS0TS1f00tf………TS2TS16TS30TS31125us图1.2PCM30/32路基群系统的帧结构在PCM30/32系统中,抽样频率为8kHz,抽样周期Ts=1/8000=125us,被称为

5、一个帧周期。每个抽样值用8比特表示,所占用的时间tc=125/32=3.9us,被称为一个路时隙。每个比特所占用的时间为tb=3.9/8=0.488us,总码速率为fb=1/0.488=2048kb/s。图1.3给出了PCM30/32路(基群)路制式帧结构,从图中可以看出1个复帧中有16个子帧(编号为F0,F1,…,F15),其中F0,F2,,F14为偶帧,F1,F3,…,F15为奇帧,一帧分为32个路时隙,分别用TS0~TS31表示,其中TS0作为帧同步时隙,用来传送帧同步码组和帧失步对告码,TS16用来传送复帧同步信号,复帧失步对告及各路信道信号,另外30路

6、时隙用来传送30路话音信号,每个时隙可以插入8位二进制信息码(即每时隙含8b信息码,由PCM编码器完成),以上的帧构成PCM30/32路基群系统。图1.3PCM30/32路(基群)路制式帧结构二.时分多路复用信号的产生模型1、模型多路复用信号的产生模型如图2.1所示.它包括了分频器、内码控制器、内码产生器、时序信号发生器及复用输出电路等功能模块。晶振输出信号送给分频器分频后得到低频信号作为内码产生器的时钟信号;每个内码产生器用于产生8位数据码且为串行输出,作为内部分路数据信号,其串行数据码输出受到时序信号的控制;时序发生器的功能是产生四路宽度为8位数据码宽度的时

7、序信号,每路时序信号的相对相位延迟按规定顺序为8位数据码宽度:输出电路的功能是将四路分路码组合成一路完整的复用信号。复用信号输出时钟分频器内码控制器内码产生器内码产生器内码产生器内码产生器输出电路时序发生器图2.1四路复用器的VHDL建模框图2.各功能模块的VHDL建模与程序设计(1)分频器分频器实际是一个4位二进制计数器,其作用是将晶体振荡电路产生的方波信号进行分频,其16分颇输出端作为内码控制器的控制输入端,其VHDL建模符号如图2.2所示。图中,clk为时钟信号输入:A-D为四位二进制分频输出.分别表示16,8,4,2分频输出。图2.2分频器的VHDL建模

8、符号分频器的VHDL源程

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。