关于与非、或非、异或、与或非门的cpld程序

关于与非、或非、异或、与或非门的cpld程序

ID:8968949

大小:125.50 KB

页数:5页

时间:2018-04-13

关于与非、或非、异或、与或非门的cpld程序_第1页
关于与非、或非、异或、与或非门的cpld程序_第2页
关于与非、或非、异或、与或非门的cpld程序_第3页
关于与非、或非、异或、与或非门的cpld程序_第4页
关于与非、或非、异或、与或非门的cpld程序_第5页
资源描述:

《关于与非、或非、异或、与或非门的cpld程序》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、项目报告学号:31309210姓名:苏艳茹项目01:基本门1、与非门:绘制与非门原理图,编写VHDL代码,仿真验证。原理图如下:代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYEX_2NAND_1ISPORT(A,B:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDEX_2NAND_1;ARCHITECTUREDOFEX_2NAND_1ISSIGNALAB:STD_LOGIC_VECTOR(1DOWNTO0);BEGINS:=B&A;PROCESS(A,B)ISBEGINCASESISWHEN"00"=>Y<='

2、1';WHEN"01"=>Y<='1';WHEN"10"=>Y<='1';WHEN"11"=>Y<='0';WHENOTHERS=>Y<='X';ENDCASE;ENDPROCESS;ENDD;功能仿真波形如下:时序仿真波形如下:2、或非门:绘制或非门原理图,编写VHDL代码,仿真验证。原理图如下:代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYEX_2NOR_1ISPORT(A,B:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDEX_2NOR_1;ARCHITECTUREDOFEX_2NOR_1ISBEGIN

3、Y<=ANORB;ENDD;功能仿真波形如下:时序仿真波形如下:3、异或门:绘制异或门原理图,编写VHDL代码,仿真验证。原理图如下:代码如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYEX_2XOR_1ISPORT(A,B:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDEX_2XOR_1;ARCHITECTUREDOFEX_2XOR_1ISBEGINY<=AXORB;ENDD;功能仿真波形如下:时序仿真波形如下:4、与或非门:绘制与或非门原理图,编写VHDL代码,仿真验证。原理图如下:代码如下:LIBRARYIE

4、EE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYEX_4AND_OR_NOT_1ISPORT(A,B:INSTD_LOGIC;C,D:INSTD_LOGIC;Y:OUTSTD_LOGIC);ENDEX_4AND_OR_NOT_1;ARCHITECTUREDOFEX_4AND_OR_NOT_1ISSIGNALTEMP1:STD_LOGIC;SIGNALTEMP2:STD_LOGIC;SIGNALTEMP3:STD_LOGIC;BEGINTEMP1<=AANDB;TEMP2<=CANDD;TEMP3<=TEMP1ORTEMP2;Y<=NOTTEMP3;END

5、D;功能仿真波形如下:时序仿真波形如下:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。