eda设计含异步清零和同步时钟使能的加法计数器

eda设计含异步清零和同步时钟使能的加法计数器

ID:8975252

大小:387.00 KB

页数:6页

时间:2018-04-13

eda设计含异步清零和同步时钟使能的加法计数器_第1页
eda设计含异步清零和同步时钟使能的加法计数器_第2页
eda设计含异步清零和同步时钟使能的加法计数器_第3页
eda设计含异步清零和同步时钟使能的加法计数器_第4页
eda设计含异步清零和同步时钟使能的加法计数器_第5页
资源描述:

《eda设计含异步清零和同步时钟使能的加法计数器》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、专业班级: 学号:姓名:EDA技术实验报告实验项目名称:设计含异步清零和同步时钟使能的加法计数器实验日期:2012.6.5实验成绩:实验评定标准:1)实验程序是否正确A()B()C()2)实验仿真、结果及分析是否合理A()B()C()3)实验报告是否按照规定格式A()B()C()一、实验目的学习计数器的设计,仿真和硬件测试,进一步熟悉VHDL设计技术。二、实验器材Quartus2软件、电脑一台三、实验内容(实验过程)<一>实验内容一:在quartus2上对例5进行编辑、编译、综合、适配、仿真。说明例中各语句的作用,详细描述各事例的功能特点,给出其所有信号的时序仿真模型。<二>

2、实验内容二:自己选择合适的电路模式,然后进行引脚锁定以及硬件下载测试,引脚锁定后进行编译、下载、硬件测试实验。将实验过程和实验结果写进实验报告。<三>详细内容如下:1.进行文本编辑2.编译,综合,仿真一、实验程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt10isport(clk,rst,en:instd_logic;cq:outstd_logic_vector(3downto0);cout:outstd_logic);endcnt10;architecture

3、behavofcnt10isbeginprocess(clk,rst,en)variablecqi:std_logic_vector(3downto0);beginifrst='1'thencqi:=(others=>'0');elsifclk'eventandclk='1'thenifen='1'thenifcqi<9thencqi:=cqi+1;elsecqi:=(others=>'0');endif;endif;endif;ifcqi=9thencout<='1';elsecout<='0';endif;cq<=cqi;endprocess;endbehav;一、实验仿

4、真、结果及分析:<一>实验仿真:<二>结果和分析:当rst清零端为1时,计数器清零。当rst=1时,计数器开始计数;当遇到clk为上升沿时,并且当使能端en=1时,计数器累加1;当使能端en=0时,计数器不加;当清零端为1时,计数器再次清零。如此持续,使得该加法计算器能够保持运行。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。