2018eda课程设计四人抢答器资料

2018eda课程设计四人抢答器资料

ID:9926542

大小:2.43 MB

页数:19页

时间:2018-05-15

2018eda课程设计四人抢答器资料_第1页
2018eda课程设计四人抢答器资料_第2页
2018eda课程设计四人抢答器资料_第3页
2018eda课程设计四人抢答器资料_第4页
2018eda课程设计四人抢答器资料_第5页
资源描述:

《2018eda课程设计四人抢答器资料》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、EDA课程设计——四人智力竞赛计数抢答器系别:计算机与电子信息工程系姓名:张虎学号:201092150109班级:10电本班指导老师:胡亚琦四人智力竞赛计数抢答器一、设计任务与要求任务:要求设计一个四人智力计数抢答器。要求:(1)设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。(2)电路具有第一抢答信号的鉴别和锁存功能。(3)系统具有计分电路。(4)系统具有犯规电路。二、总体框图根据设计要求,本系统应具有第一抢答信号的鉴别和锁存、答题计时、动态显示和声光提示等功能。为了完成上述功能,该系统应由抢答鉴别和锁存电路

2、、答题计时电路、数显驱动电路和声光驱动电路等组成。抢答器设计的关键是准确地判断第一抢答信号和锁存。在得到第一抢答信号后应立即进行电路封锁,使其他组抢答无效。形成抢答信号后,由数显电路显示抢答组别,由声光电路提示超时抢答。输入电路判别电路声光数显控制电路声光显示数字显示计时电路图1总体框图三、选择器件1、装有QuartusII软件的计算机一台。2、7段数码显示管。3、芯片:使用Altera公司生产的Cyclone系列芯片,如EP1C12Q240C8芯片。4、EDA实验箱一个。5,下载接口是数字芯片的下载接口(JTAG)主要用于FPGA芯片的数据下载。

3、6、时钟源。Cyclone的性能特性Cyclone器件的性能足以和业界最快的FPGA进行竞争。CycloneFPGA内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有:1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。2)FPGA可做其它全定制或半定制ASIC电路的中试样片。3)FPGA内部有丰富的触发器和I/O引脚。4)FPGA是ASIC电路中设计周期最短、开发费用最低、

4、风险最小的器件之一。5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。一、功能模块1:libraryieee;useieee.std_logic_1164.all;entityfengisport(cp,clr:instd_logic;q:outstd_logic);endfeng;architecturefeng_arcoffengisbeginprocess(cp,clr)beginifclr='0'thenq<='0';elsifcp'eventa

5、ndcp='0'thenq<='1';endif;endprocess;endfeng_arc;此程序为抢答鉴别模块feng该模块主要实现抢答和鉴别的功能。在主持人发出抢答指令以后,若有参赛者按下抢答器的按钮,该模块将参赛者按下按键的信号输出高电平给锁存模块。图2抢答鉴别模块的仿真波形clr是低电平有效;当cp来个下降沿时,输出q将一直为高电平符合模块要求。2:libraryieee;useieee.std_logic_1164.all;entityselisport(clk:instd_logic;a:outintegerrange0to7);e

6、ndsel;architectureoneofselisbeginprocess(clk)variableaa:integerrange0to7;beginifclk'eventandclk='1'thenaa:=aa+1;endif;a<=aa;endprocess;endone;此程序为VHDL程序该程序生成的符号及仿真波形如下:图3片选信号模块仿真图当来一个脉冲时,输出将增加1,符合模块要求。3:libraryieee;useieee.std_logic_1164.all;entitylockbisport(d1,d2,d3,d4:instd

7、_logic;clk,clr:instd_logic;q1,q2,q3,q4,alm:outstd_logic);endlockb;architecturelock_arcoflockbisbeginprocess(clk)beginifclr='0'thenq1<='0';q2<='0';q3<='0';q4<='0';alm<='0';elsifclk'eventandclk='1'thenq1<=d1;q2<=d2;q3<=d3;q4<=d4;alm<='1';endif;endprocess;endlock_arc;此程序为锁存器模块LOC

8、KB该模块主要对选手按下按键进行锁存,锁存的同时发出alm信号实现声音提示。该程序生成的符号及仿真波形如下:图4锁存模块仿

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。