dds信号发生器设计

dds信号发生器设计

ID:13921088

大小:345.63 KB

页数:15页

时间:2018-07-25

dds信号发生器设计_第1页
dds信号发生器设计_第2页
dds信号发生器设计_第3页
dds信号发生器设计_第4页
dds信号发生器设计_第5页
资源描述:

《dds信号发生器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计(论文)题目名称DDS信号发生器设计课程名称专业课程设计(二)学生姓名李西军学号0941301222系、专业信息工程系、电子科学与技术班指导教师许建明2012年6月6日摘要采用了直接数字频率合成技术(DDS)和计算机控制技术,选择美国AnalogDevices公司的高度集成DDS芯片AD9851和AT89S52单片机作为控制器件,设计了一种基于DDS的程控信号发生器。用C语言进行了软件应用设计。实验结果表明,该信号发生器能较好地产生较高稳定度的激励信号,具有较高的实用价值。关键词:DDS;信号发生器;AD9851;AT89S52;AbstractThedirectdig

2、italfrequencysynthesistechnology(DDS)andcomputercontroltechnology,selectionofAmericanAnalogcompanyDeviceshighlyintegratedDDSchipAD9851andAT89S52singlechipmicrocomputerascontroldevice,designedakindofprogramcontrolsignalgeneratorbasedonDDS.TheuseofClanguagesoftwareapplicationdesign.Theexperimen

3、talresultsshowthat,thesignalgeneratorcangeneratehigherstabilityexcitationsignal,andhashighpracticalvalueKeyword:DDSAD9851;AT89S52;signalgenerator目录摘要1设计目的12设计功能及端口12.1设计实现的功能12.2输入输出端口13、功能介绍23.1设计功能模块介绍:23.2系统结构框图:34设计源代码44.1设计源代码:45验证方案和仿真激励:56功能仿真67综合布线与电路图87.1综合与布局布线:88下载代码和引脚分布报告98.1下载代

4、码98.2引脚分布9结论9参考文献101、概述  信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器的实现方法通常是采用分立元件或单片专用集成芯片,但其频率不高,稳定性较差,且不易调试,开发和使用上都受到较大限制。随着可编程逻辑器件(FPGA)的不断发展,直接频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FP-GA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化

5、、自定义化。本文研究了基于FPGA的DDS信号发生器设计,实现了满足预定指标的多波形输出。  DDS建立在采样定理基础上,首先对需要产生的波形进行采样,将采样值数字化后存入存储器作为查找表,然后通过查表读取数据,再经D/A转换器转换为模拟量,将保存的波形重新合成出来。DDS基本原理框图如图1所示。11除了滤波器(LPF)之外,DDS系统都是通过数字集成电路实现的,易于集成和小型化。系统的参考时钟源通常是一个具有高稳定性的晶体振荡器,为各组成部分提供同步时钟。频率控制字(FSW)实际上是相位增量值(二进制编码)作为相位累加器的累加值。相位累加器在每一个参考时钟脉冲输入时,累加一次频

6、率字,其输出相应增加一个步长的相位增量。由于相位累加器的输出连接在波形存储器(ROM)的地址线上,因此其输出的改变就相当于查表。这样就可以通过查表把存储在波形存储器内的波形抽样值(二进制编码)查找出来。ROM的输出送到D/A转换器,经D/A转换器转换成模拟量输出。 2、设计功能及端口2.1设计实现的功能用一个8×128的ROM完成对所要显示正弦波形数据的存储,即生成正弦波的波形数据查找表,通过VerilogHDL编写DDS直接数字频率合成代码,在QuartusII与modelsim工具软件的环境中进行设计和仿真,要求能根据相位累加产生的地址并按照不同的频率控制信号(freq)读取

7、ROM波形查找表中的数值做为输出,并正确显示波形。同时还可通过改变相移变量(pha)控制程序从不同的位置开始读取ROM波形查找表中的数据。112.2输入输出端口inputwireclk;//时钟inputwirerst;//复位信号(低电平有效)inputwire[6:0]freq;//频率控制信号inputwire[6:0]pha;//相移变量信号inputwirekey;//使能开关信号(高电平有效)outputwire[7:0]data;//ROM查找表数据3、功能介绍3.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。