数字电路实验八同步时序电路逻辑设计

数字电路实验八同步时序电路逻辑设计

ID:14886115

大小:1.47 MB

页数:6页

时间:2018-07-30

数字电路实验八同步时序电路逻辑设计_第1页
数字电路实验八同步时序电路逻辑设计_第2页
数字电路实验八同步时序电路逻辑设计_第3页
数字电路实验八同步时序电路逻辑设计_第4页
数字电路实验八同步时序电路逻辑设计_第5页
资源描述:

《数字电路实验八同步时序电路逻辑设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《数字电路与系统设计》实验指导书5实验报告课程名称:数字电路实验第8次实验实验名称:同步时序电路逻辑设计实验时间:2012年5月29日实验地点:组号学号:姓名:指导教师:评定成绩:《数字电路与系统设计》实验指导书5一、实验目的:1.掌握同步时序电路逻辑设计过程。2.掌握实验测试所设计电路的逻辑功能。3.学习EDA软件的使用。二、实验仪器:序号仪器或器件名称型号或规格数量1逻辑实验箱12万用表13双踪示波器1474LS1941574LS1121674LS041774LS001874LS861974L

2、S101三、实验原理:设计要求状态转移图状态转移表状态化简状态分配选择触发器激励方程、输出方程逻辑电路同步时序电路逻辑设计过程方框图如图8-1所示。《数字电路与系统设计》实验指导书5图8-1其主要步骤有:1.确定状态转移图或状态转移表根据设计要求写出状态说明,列出状态转移图或状态转移表,这是整个逻辑设计中最困难的一步,设计者必须对所需要解决的问题有较深入的理解,并且掌握一定的设计经验和技巧,才能描绘出一个完整的、较简单的状态转移图或状态转移表。2.状态化简将原始状态转移图或原始状态转移表中的多余状

3、态消去,以得到最简状态转移图或状态转移表,这样所需的元器件也最少。3.状态分配这是用二进制码对状态进行编码的过程,状态数确定以后,电路的记忆元件数目也确定了,但是状态分配方式不同也会影响电路的复杂程度。状态分配是否合理需经过实践检验,因此往往需要用不同的编码进行尝试,以确定最合理的方案。4.选择触发器通常可以根据实验室所提供的触发器类型,选定一种触发器来进行设计,因为同步时序电路触发器状态更新与时钟脉冲同步,所以在设计时应尽量采用同一类型的触发器。选定触发器后,则可根据状态转移真值表和触发器的真值

4、表作出触发器的控制输入函数的卡诺图,然后求得各触发器的控制输入方程和电路的输出方程。5.排除孤立状态理论上完成电路的设计后,还需检查电路有否未指定状态,若有未指定状态,则必须检查未指定状态是否有孤立状态,即无循环状态,如果未指定状态中有孤立状态存在,应采取措施排除,以保证电路具有自启动性能。经过上述设计过程,画出电路图,最后还必须用实验方法对电路的逻辑功能进行验证,如有问题,再作必要的修改。时序电路的功能测试可以用静态和动态两种方法进行,静态测试由逻辑开关或数据开关提供输入信号,测试各级输出状态随

5、输入信号变化的情况,可用指示灯观察,用状态转移真值表或功能表来描述。动态测试是在方波信号的作用下,确定各输出端输出信号与输入信号之间的时序图,可用示波器观察波形。在实际的逻辑电路设计中,以上的设计过程往往不能一次性通过,要反复经过许多次仿真和调试,才能符合设计要求,既费时费力,又提高了产品的成本,而且,随着电路的复杂化,受工作场所及仪器设备等因素的限制,许多试验不能进行。为了解决这些问题,很多国内外的电子设计《数字电路与系统设计》实验指导书5公司于20世纪80年代末、90年代初,推出了专门用于电子

6、线路仿真和设计的“电子设计自动化(EDA)”(ElectronicsDesignAutomation)软件,电子产品设计人员利用这个软件对所设计的电路进行仿真和调试,一方面可以验证所设计的电路是否能达到设计要求的技术指标,另一方面又可以通过改变电路中元器件的参数,使整个电路性能达到最佳。四、实验内容:1.用给定的触发器及门电路设计101序列信号(串行)检测器。该同步时序电路有一个输入X,一个输出Z,对应于输入序列101的最后一个1,输出Z=1。设序列可以重叠检测。要求:输入X用一个逻辑开关控制,C

7、P用单脉冲开关控制,一个CP送入X的一个数码,输出Z及触发器状态Q接指示灯。设X=010101110100101时,观察指示灯并记录。答:电路图和表达式如下:《数字电路与系统设计》实验指导书52.用一片74LS194及适当门电路实现:00101序列信号发生器(若74LS194构成右移,Q2作为输出)101序列信号(并行)检测器(输出Z=1)答:电路图和表达式如下:DsrQ1Q2Q3Q4100100100110100010100010110010DSR=Q1nQ4nZ=Q2nQ3nQ4n《数字电路与

8、系统设计》实验指导书5要求:叙述设计过程,写出设计方案,构成逻辑电路。用示波器观察实验电路。画出CP、Q2、Z的对应波形。步骤:正确完成实验电路,接入1KHz的CP信号,用示波器的一个探头接CP端,另一个探头接Q2端,观察相应的波形并记录;改变接Q2端的探头至序列信号检测器的输出Z端,观察相应的波形并记录。把它们画在一张图上,完成要求。五、实验思考:1.时序电路的自启动的作用是什么?答:保证即便因为偶然因素电路的状态偏离了正常的循环,电路也能够在有限步骤内自行调整重新进入循环,提高

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。