eda设计电子琴设计

eda设计电子琴设计

ID:15715617

大小:48.50 KB

页数:6页

时间:2018-08-05

eda设计电子琴设计_第1页
eda设计电子琴设计_第2页
eda设计电子琴设计_第3页
eda设计电子琴设计_第4页
eda设计电子琴设计_第5页
资源描述:

《eda设计电子琴设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、九江学院电子工程学院课程设计报告课程名称:VHDL语言与EDA设计题目:简易电子琴专业:应用电子班级:B0922学生姓名:******学号:******起止日期:2011年11月7日~11月11日指导教师:高老师简易电子琴设计要求1)设计一个简易电子琴;2)利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;3)用指示灯显示节拍;4)*能产生颤音效果。1、方案论证与对比1.1方案一采用数字逻辑电路制作,用IC拼凑焊接实现,这种电路很直观,简单方便。但应用数字逻辑电路制作的话,使用的器件较多,连接复杂,体积大,功

2、耗大。电路中焊点和线路较多会,使成品的稳定度和精度大大降低。1.2方案二采用现场可编程逻辑器件(FPGA)制作,利用EDA软件中的VHDL硬件描述语言编程进行控制,然后烧制实现。采用FPGA来设计的原理图如图1.1所示。它由控制输入电路、FPGA、显示电路和扬声器电路组成。扬声电路显示电路FPGA控制输入电路图1.1采用FPGA设计的电子琴原理方框图控制输入电路主要是为用户设计的,起到一个输入控制的作用。FPGA是现场可编程逻辑器件,也是本设计方案的核心内容,它是实现电子琴运作的主要控制模块。由设计者把编好VHDL程序烧制

3、到现场可编程逻辑器件FPGA中,然后通过控制输入电路把乐谱输入到FPGA,产生不同的频率驱动扬声器,发出不同的乐谱。同时也把发出的乐谱符号通过显示器输出。1.3方案三单片机现在已经达到很成熟的阶段了,它的应用也十分广泛。采用单片机来实现电子琴,它的原理方框图与用FPGA来实现的原理方框图类似,如图1.2所示。扬声器电路显示电路MCU(单片机)控制输入电路图1.2采用单片机实现电子琴的原理方框图对于电子琴的设计,三个方案均可以实现,但是第一个方案中采用的是数字逻辑电路来制作,该电路硬件所需的器材多,体积庞大,比较复杂,而且精

4、度和稳定度都不是很高。第二个方案采用的是现场可编程逻辑器件来实现,它的优点是所有电路集成在一块芯片上,此方案所需的外围电路简单,这样它的体积就减少了,同时还提高了系统的稳定度。还可以用ModelsimXE5.3d软件进行仿真和调试等。设计人员可以充分利用VHDL硬件描述语言方便的编程,提高开发效率,缩短研发周期,降低研发成本;而且易于进行功能的扩展,实现方法灵活,调试方便,修改容易。方案三也有它的优点,但同时也存在缺点。它对设计者的要求比较高,设计者对软硬件必须十分熟悉。和方案二来比它的实验仿真没有方案二简单直观,调试也有

5、一定的难度。在外界环境相同的条件下,方案三设计出来的产品精度和稳定度要比方案二稍微差一些。因此,电子琴的设计我们选择方案二来实现。2、各功能块电路及工作原理2.1、实体部分定义模块的输入输出引脚,包括按键、时钟输入引脚和扬声器输出。entityspeakeraisport(clk:instd_logic;--时钟输入index:instd_logic_vector(6downto0);--按键输入spks:outstd_logic);--扬声器输出end;2.2、节拍显示将每个按键与一个led相串联,当按键按下时指示灯亮,

6、按键松开时指示灯灭,来显示节拍。图2.1按键电路2.3、频率选择模块根据按键输入选择不同频率。search:process(index)begincaseindexiswhen"0000001"=>tone<="01100000101";--1when"0000010"=>tone<="01110010000";--2when"0000100"=>tone<="10000001100";--3when"0001000"=>tone<="10000101101";--4when"0010000"=>tone<="100100

7、01010";--5when"0100000"=>tone<="10011011100";--6when"1000000"=>tone<="10100100010";--7whenothers=>tone<="11111111111";endcase;endprocess;2.4、分频模块首先将输入的12M时钟信号12分频,得到时钟周期为1us的信号。divdeclk:process(clk)variablecount4:std_logic_vector(3downto0);beginpreclk<='0';ifcount

8、4>11thenpreclk<='1';count4:="0000";elsifclk'eventandclk='1'thencount4:=count4+1;endif;endprocess;再根据输入的tone对应的音符计数得到相应的分频,并赋值给fullspks。genspks:process(p

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。