eda技术实验报告

eda技术实验报告

ID:19784471

大小:3.28 MB

页数:21页

时间:2018-10-06

eda技术实验报告_第1页
eda技术实验报告_第2页
eda技术实验报告_第3页
eda技术实验报告_第4页
eda技术实验报告_第5页
资源描述:

《eda技术实验报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验报告课程名称:EDA技术姓名:系:专业:年级:学号:指导教师:职称:实验项目列表序号实验项目名称成绩指导教师1实验一 QuartusII9.0软件的使用2实验二用文本输入法设计2选1多路选择器3实验三用文本输入法设计7段数码显示译码器4实验四用原理图输入法设计8位全加器5实验五乐曲硬件演奏电路的设计67891011121314151617181920福建农林大学计算机与信息学院信息工程类实验报告系:专业:电子信息工程年级:姓名:学号:实验课程: EDA技术实验室号:__实验设备号:实验时间: 指导教师签字:成绩:实验一

2、QuartusII9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉QuartusII9.0软件的使用,学会利用QuartusII9.0软件来完成整个EDA开发的流程。2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。QuartusII是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的

3、仿真工具。3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:QuartusII9.0软件4.操作方法与实验步骤利用QuartusII9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。利用QuartusII9.0软件实现引脚锁定和编译文件下载。利用QuartusII9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。5.实验内容及实验数据记

4、录创建文件及软件相关配置等:6.实验数据处理与分析利用QuartusII9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。7.质疑、建议、问题讨论通过本次实验,对QuartusII9.0软件有了初步的认识,在实验的基础上基本掌握了利用QuartusII9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真等。福建农林大学计算机与信息学院信息工程类实验报告系:专业:电子信息工程年级:姓名:学号:实验课程: EDA技术实验室号:__实

5、验设备号:实验时间: 指导教师签字:成绩:实验二用文本输入法设计2选1多路选择器1.实验目的和要求本实验为综合性实验,综合了简单组合电路逻辑、QuartusII的使用方法、多层次电路设计、仿真和硬件测试等内容。其目的是熟悉QuartusII的VHDL文本设计流程全过程。2.实验原理2选1多路选择器真值表sabyLL×LLH×HH×LLH×HH3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quart

6、usII9.0软件4.操作方法与实验步骤首先利用QuartusII完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,然后进行仿真。最后在实验系统上进行硬件测试,实际验证本项实验的功能。将设计好的2选1多路多路选择器看成是一个元件mux21a,利用元件例化语句描述下图,并将此文件放在同一目录E:muxfile中。5.实验内容及实验数据记录实验设计代码:ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOF

7、mux21aISBEGINPROCESS(a,b,s)BEGINIFs='0'THENy<=a;ELSEy<=b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;相关配置及编译过程:6.实验数据处理与分析实验处理结果7.质疑、建议、问题讨论在仿真时,通过设定a、b不同的输入,是在输出到扬声器时会有声音的不同以区别,从而通过键1对S的控制测试实验结果。实验中应注意a、b所接引脚的选择,另在实验中clock0及clock5的频率是可以自由选择的,但注意要正确选择频率以保障在输出时频率在人耳课接受的范围内

8、。福建农林大学计算机与信息学院信息工程类实验报告系:专业:电子信息工程年级:姓名:学号:实验课程: EDA技术实验室号:__实验设备号:实验时间: 指导教师签字:成绩:实验三用文本输入法设计7段数码显示译码器1.实验目的和要求本实验为综合性实验,综合了简单组合电路逻辑,QuartusII的使用方法,多层

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。