通信原理试题new

通信原理试题new

ID:21671643

大小:36.05 KB

页数:13页

时间:2018-10-23

通信原理试题new_第1页
通信原理试题new_第2页
通信原理试题new_第3页
通信原理试题new_第4页
通信原理试题new_第5页
资源描述:

《通信原理试题new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Eda试题一、概念题(18分)1、实验用的可编程器件FLEX10K10内部门数是(D)。(A)6000(B)8000(C)3000(D)10000(2分)2、VHDL英文全称是:Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage超高速集成电路硬件描述语言(2分)3、我们EDA实验用的软件平台是(max+plus2)。(2分)4、图标ab的功能分别是,a:编译b:时间分析(4分)5、IF-THEN-ELSE语句是(顺序),WHEN-ELSE语句是(顺序),WITH-SLECT-WHEN语句是(并行)

2、,FOR-LOOP语句是(顺序)。(8分)(A)顺序语句(B)并行语句(C)调用语句(D)并行语句二、简述题(12分)1、简述BUFFER和INOUT在用法上的区别。(4分)INOUT为输入输出双向端口,即从端口内部看,可以对端口进行赋值,即输出数据。也可以从此端口读入数据,即输入。BUFFER为缓冲端口,功能与INOUT类似,区别在于当需要读入数据时,只允许内部回读内部产生的输出信号,即反馈。举个例子,设计一个计数器的时候可以将输出的计数信号定义为BUFFER,这样回读输出信号可以做下一计数值的初始值,buffer顾名思义就是缓存,它是作为输出使用的,因为在模块

3、内,是不可以将输出赋值给其他信号的,例如定义b:outstd_logic;我们现在要将b赋值给信号a,就会出错,但是如果b的类型为buffer就可以执行操作;inout是双向端口,即可以作为输入也可以作为输出,跟buffer的作用完全不同,要注意的是inout类型的数据在不作为输入使用时必须被置为高阻“Z”状态,否则它作为输出的功能将不能正确执行2、简述实体和结构体各自的职能和它们之间的联系。(4分)实体:声明到其实体及其设计的接口,即定义本设计的输入/出端口结构体:定义了实体的实现。即电路的具体描述所有能被仿真的实体都由一个结构体描述,结构体描述实体的行为功能。

4、即设计的功能,是实体中的具体逻辑。一个实体可以有多个结构体,一种结构体可能为行为描述,而另一种结构体可能为设计的结构描述或数据通道的描述3、语句:TYPEm_stateIS(st0,st1,st2,st3,st4,st5);SIGNALpresent_state,next_state:m_state;分别是什么语句?在此,描述的是什么含义?(4分)信号present_state,next_state的数据类型定义为m_state他们的取值范围是可以枚举的,即从st0---st5共六种,这些状态代表六组唯一的二进制数值三、器件描述和功能判定(15分)q[0~7]1d

5、[0~2]、写出图1器件的实体描述,并估计器件功能(5分)。enentitydecoderisport(adrin:instd_logic_vector(2downto0);deout:outstd_logic_vector(7downto0));enddecoder;三八译码器2、某器件结构体描述如下。(10分)(1)试判定器件功能(4分);(2)试用CASE—WHEN语句对结构体改写(6分)。…ARCHITECTUREarchAAOFAAISbeginPROCESS(s,a0,a1,a2,a3)beginifs="00"theny<=a0;elsifs="01

6、"theny<=a1;elsifs="10"theny<=a2;elsey<=a3;endifendprocess;endarchAA;该器件功能是:4-1多路选择器ARCHITECTUREarchAAOFAAISbeginPROCESS(s,a0,a1,a2,a3)beginCasesiswhen“00”=>yt<=“a0”;when“01”=>y<=“a1”;when“10”=>y<=“a2”;whenothers=>y<=“a3”;EndCase;三、分析下例程序,给带“*”的语句加上注释,给出设计的结果。(35分)程序1(10分)libraryieee;u

7、seieee.std_logic_1164.all;entitypyisport(db:instd_logic_vector(7downto0);*db为输入端口范围是从0-7e_num,o_num:outstd_logic);*e_num,o_num为输出端口endpy;architecturebehaveofpyisbeginprocess(db)variabletmp:std_logic;*在进程中定义的变量begintmp:='0';变量赋初值*foriin0to7looptmp:=tmpxordb(i);*变量赋值,将tmp与db异或之后的值赋给tmpe

8、ndloo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。