基于fpga的数字时钟的设计new

基于fpga的数字时钟的设计new

ID:33922830

大小:208.73 KB

页数:5页

时间:2019-02-28

基于fpga的数字时钟的设计new_第1页
基于fpga的数字时钟的设计new_第2页
基于fpga的数字时钟的设计new_第3页
基于fpga的数字时钟的设计new_第4页
基于fpga的数字时钟的设计new_第5页
资源描述:

《基于fpga的数字时钟的设计new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、云南大学学报(自然科学版),2009,31(s2):43—415JournalofYunnanUniversityCN53一l¨5/N塔SN0258—7971基于FPGA的数字时钟的设计。薛晓军,许江淳,李玉惠,李勃,刘国贺(昆明理工大学信息工程与自动化学院,云南昆明650051)摘要:在Q-砒.sⅡ开发环境下,用VerilogHDL硬件描述语言设计了一个可以在FPGA芯片上实现的数字时钟.通过将设计代码下载到FPGA的开发平台AheraDE2开发板上进行了功能验证.由于数字时钟的通用性及VerilogHDL语言的可移植性,冈此本数字时钟可

2、直接应用于各种不同系列的FPGA芯片的设计中.关键词:数字时钟;VerilogHDL;FPGA;QuartusⅡ中图分类号:,I'P391.9文献标识码:A文章编号:0258—7971(2009)S2—0043—03FPGA是英文FieldProgrammableGateArray的缩写,即现场可编程门阵列,是一种高密度的可编程逻辑器件⋯.近年来,Altera公司推出了新的可编程逻辑器件设计软件QuartusII.它将设计、综合、布局、仿真验证和编程下载及第三方EDA工具集成在一个无缝的环境中,可以进行系统级设计、嵌入式系统设计和可编程器件

3、设计拉J.VerilogHDL是目前应用最为广泛的硬件描述语言之一,用它进行数字系统的设计,很多工作可以在计算机上完成,从而可以缩短系统的开发时间,提高工作效率.数字时钟是一种用数字电路技术实现时、分、秒、百分秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用.数字时钟的设计方法也有许多种.本文以现场可编程门阵列(FPGA)器件为核心来实现数字时钟,并以QuartusⅡ7.2为开发环境,以VerilogHDL为设计语言进行设计,最后将代码下载到FPGA内部形成时钟电路,在开发平台Ahe

4、raDE2开发板上进行功能验证.1系统设计方案1.1数字时钟原理介绍图l是数字时钟原理图.该数字时钟使用4个两位的计数器来实现,即百分秒计数器、秒计数器、分计数器和小时计数器.每个计数器又分别使用高低位2个计数器来实现.其中百分秒计数器是100进制计数器(高位lo进制计数器,低位10进制计数器),秒计数器和分计数器是60进制计数器(高位6进制计数器,低位10进制计数器),小时计数器是24迸制计数器(高位2进制计数器,低位10进制计数器).数字时钟首先是百分秒计数器按照系统时钟CLK_50进行计数,计数满100后向秒计数器进位.秒计数器以百分

5、秒计数器的进位位cnl为时钟进行计数,计数满60后向分计数器进位.分计数器以秒计数器的进位位cn2为时钟进行计数,计数满60后向小时计数器进位.小时计数器以分计数器的进位位cn3为时钟进行计数,计数满24后整个系统从0开始重新进行计数.1.2数字时钟功能要求数字时钟提供清零位RST和暂停位PAUSE.当拨动清零位开关RST时,数字时·收稿日期:2009—06—04作者简介:薛晓军(1984一),女,山西人,硕t:生,主要从事FPGA嵌入式技术应用方面的研究,通讯作者:许江淳(1963一).男,云南人,硕士生导师,主要从事嵌入式系统技术应用方

6、面的研究.E—mail:jxl9631018@163.corn.44云南大学学报(自然科学版)第3l卷钟各个计数器全显示为零;当拨动暂停位开关PAUSE时,数字时钟各个计时器停止计时,并显示当前时间记录.清零位RST系统钟CLK100进制汁数器I60进制计数器I60进制计数器I24进制计数器RSTMMSsHL【[33::00j卣分秒汁数器的商、低化OCLKcnI白.分秒if数;}{}曲料数器的进位RST嚣H[3:器0秒计数器的高、低他CLKcn2秒计数器向分汁数:{:}的进位RSTMML【H[3Y器0分计数器的商、低位CLKcn3分计数器向

7、小时撇器的进位RSTHH[3‘0】一一HLl30】小时计数器的商、低位CLK图1数字时钟原理图Fig.1Theschematicdiagramofaisitalclock2系统模块设计系统通过4个always块来实现功能设计,分别为百分秒块、秒块、分块、小时块.其中每个块都具有计数、清零、暂停、显示的功能.下面以百分秒块的部分设计代码为例来说明用VerilogHDL进行设计的具体过程.always@(posedgeCLK一50orposedgeRST)//百分秒计数器以系统时钟CLK一50为时钟进行计数.beginif(RST)//若RST

8、为l,则实现复位,即清零.数码管显示为0.beginHEX0=7b1000000;//七段数码管低电平有效.HEXl=7b1000000:cnl<=0;//进位位置0.MSH=4

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。