Quartus错误大全

Quartus错误大全

ID:37934224

大小:122.28 KB

页数:13页

时间:2019-06-03

Quartus错误大全_第1页
Quartus错误大全_第2页
Quartus错误大全_第3页
Quartus错误大全_第4页
Quartus错误大全_第5页
资源描述:

《Quartus错误大全》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、Quartus常见错误分析1Warning:VHDLProcessStatementwarningatrandom.vhd(18):signalresetisinstatement,butisnotinsensitivitylist----没把singal放到process()中2Warning:Foundpinsingasundefinedclocksand/ormemoryenablesInfo:AssumingnodeCLKisanundefinedclock-=-----可能是说设计中产生的触发器没有使能端3Err

2、or:VHDLInterfaceDeclarationerrorinclk_gen.vhd(29):interfaceobject"clk_scan"ofmodeoutcannotberead.Changeobjectmodetobufferorinout.------信号类型设置不对,out当作buffer来定义4Error:Nodeinstance"clk_gen1"instantiatesundefinedentity"clk_gen"-------引用的例化元件未定义实体--entity"clk_gen"5Warn

3、ing:Found2node(s)inclockpathswhichmaybeactingasrippleand/orgatedclocks--node(s)analyzedasbuffer(s)resultinginclockskewInfo:Detectedrippleclock"clk_gen:clk_gen1/clk_incr"asbufferInfo:Detectedrippleclock"clk_gen:clk_gen1/clk_scan"asbuffer6Warning:VHDLProcessStatemen

4、twarningatledmux.vhd(15):signalorvariable"dataout"maynotbeassignedanewineverypossiblepaththroughtheProcessStatement.Signalorvariable"dataout"holdsitspreviousineverypathwithnonewassignment,whichmaycreateacombinationalloopinthecurrentdesign.7Warning:VHDLProcessState

5、mentwarningatdivider_10.vhd(17):signal"cnt"isreadinsidetheProcessStatementbutisn''tintheProcessStatement''ssensivititylist-----缺少敏感信号8Warning:Noclocktransitionon"counter_bcd7:counter_counter_clk/q_sig[3]"register9Warning:Reducedregister"counter_bcd7:counter_counte

6、r_clk/q_sig[3]"withstuckclockporttostuckGND10Warning:Circuitmaynotoperate.Detected1non-operationalpath(s)clockedbyclock"class[1]"withclockskewlargerthandatadelay.SeeCompilationReportfordetails.11Warning:Circuitmaynotoperate.Detected1non-operationalpath(s)clockedby

7、clock"sign"withclockskewlargerthandatadelay.SeeCompilationReportfordetails.12Error:VHDLerroratcounter_clk.vhd(90):actualport"class"ofmode"in"cannotbeassociatedwithformalport"class"ofmode"out"------两者不能连接起来13Warning:Ignorednodeinvectorsourcefile.Can''tfindcorrespon

8、dingnodename"class_sig[2]"indesign.------没有编写testbench文件,或者没有编辑输入变量的值testbench里是元件申明和映射14Error:VHDLBindingIndicationerroratfreqdetect_top.vhd(19):port"c

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。