quartus常见错误分析 error

quartus常见错误分析 error

ID:6339505

大小:26.59 KB

页数:13页

时间:2018-01-10

quartus常见错误分析 error_第1页
quartus常见错误分析 error_第2页
quartus常见错误分析 error_第3页
quartus常见错误分析 error_第4页
quartus常见错误分析 error_第5页
资源描述:

《quartus常见错误分析 error》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、Quartus常见错误分析ErrorWarning:VHDLProcessStatementwarningatrandom.vhd(18):signalresetisinstatement,butisnotinsensitivitylist----没把singal放到process()中2Warning:Foundpinsingasundefinedclocksand/ormemoryenablesInfo:AssumingnodeCLKisanundefinedclock-=-----可能是说

2、设计中产生的触发器没有使能端3Error:VHDLInterfaceDeclarationerrorinclk_gen.vhd(29):interfaceobject"clk_scan"ofmodeoutcannotberead.Changeobjectmodetobufferorinout.------信号类型设置不对,out当作buffer来定义4Error:Nodeinstance"clk_gen1"instantiatesundefinedentity"clk_gen"-------引用

3、的例化元件未定义实体--entity"clk_gen"5Warning:Found2node(s)inclockpathswhichmaybeactingasrippleand/orgatedclocks--node(s)analyzedasbuffer(s)resultinginclockskewInfo:Detectedrippleclock"clk_gen:clk_gen1

4、clk_incr"asbufferInfo:Detectedrippleclock"clk_gen:clk_gen1

5、

6、clk_scan"asbuffer6Warning:VHDLProcessStatementwarningatledmux.vhd(15):signalorvariable"dataout"maynotbeassignedanewineverypossiblepaththroughtheProcessStatement.Signalorvariable"dataout"holdsitspreviousineverypathwithnonewassignment,whichmaycreateac

7、ombinationalloopinthecurrentdesign.7Warning:VHDLProcessStatementwarningatdivider_10.vhd(17):signal"cnt"isreadinsidetheProcessStatementbutisn'tintheProcessStatement'ssensivititylist-----缺少敏感信号8Warning:Noclocktransitionon"counter_bcd7:counter_counter_c

8、lk

9、q_sig[3]"register9Warning:Reducedregister"counter_bcd7:counter_counter_clk

10、q_sig[3]"withstuckclockporttostuckGND10Warning:Circuitmaynotoperate.Detected1non-operationalpath(s)clockedbyclock"class[1]"withclockskewlargerthandatadelay.SeeCompilationRe

11、portfordetails.11Warning:Circuitmaynotoperate.Detected1non-operationalpath(s)clockedbyclock"sign"withclockskewlargerthandatadelay.SeeCompilationReportfordetails.12Error:VHDLerroratcounter_clk.vhd(90):actualport"class"ofmode"in"cannotbeassociatedwithf

12、ormalport"class"ofmode"out"------两者不能连接起来13Warning:Ignorednodeinvectorsourcefile.Can'tfindcorrespondingnodename"class_sig[2]"indesign.------没有编写testbench文件,或者没有编辑输入变量的值testbench里是元件申明和映射14Error:VHDLBindingIndicationerroratfreqdetect_top.vhd(19):port"

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。