项目触发器的设计

项目触发器的设计

ID:38689062

大小:2.20 MB

页数:20页

时间:2019-06-17

项目触发器的设计_第1页
项目触发器的设计_第2页
项目触发器的设计_第3页
项目触发器的设计_第4页
项目触发器的设计_第5页
资源描述:

《项目触发器的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、1任务分析:D触发器的工作原理1知识准备:进程语句与if语句2任务实施:D触发器的VHDL设计3任务8D触发器的VHDL设计2一、任务分析:D触发器的工作原理触发器是时序逻辑电路的基本逻辑单元,能够存储1位二进制数据。有两个稳定的状态,在外加触发信号的作用下,可以从一种稳定状态转换到另一种稳定状态,当外加信号消失后,触发器仍维持其现状态不变,具有记忆功能。最简单并最具代表性的时序电路是D触发器,它是现代可编程ASIC设计中最基本的时序元件和底层元件。D触发器的描述包含了VHDL对时序电路的最基本和典型的表达方式,同时也包含了VHDL中许多最具特色的

2、语言现象。触发器的分类:(1)按电路的结构形式不同可分为:基本RS触发器,同步RS触发器,主从触发器和边沿触发器等。(2)按功能分为:RS触发器,JK触发器,T触发器,Tˊ触发器,D触发器等。在逻辑代数中,D触发器的逻辑功能可以用下面的布尔方程式来表示:时序逻辑电路中,可用带使能端的D触发器实现锁存器,即把信号暂存以维持某种电平状态。知识准备:电路工作原理21、用不完整IF实现时序逻辑电路的描述(教材P76)可以用于实现两种或两种以上的条件分支判断。IF语句当中至少应有一个条件句,条件句可以是一个BOOLEAN类型的标识符,也可以是一个判别表达式。

3、IF语句根据条件语句产生的结果判断结果是”真”还是”假”,有条件的选择执行其后的顺序语句。格式1(非完整性条件语句):IF条件THEN顺序处理语句;ENDIF;(条件真则执行,否则直接END跳过)格式2(具有分支的条件语句):IF条件THEN顺序处理语句;ELSE顺序处理语句;ENDIF;常用于时序逻辑电路常用于组合逻辑电路格式3(多重IF语句嵌套式条件句):IF条件THENIF条件THEN顺序处理语句……….ENDIF;ENDIF;ENDIF语句应该和嵌入的条件句数量一致.格式4(多分支IF语句):IF条件1THEN顺序处理语句;ELSIF条件2

4、THEN顺序处理语句;……ELSE顺序处理语句;ENDIF;这一类型语句的特点是可以通过关键词设定多个判定条件,其任一分支顺序语句的执行条件是以上各分支所确定条件的相与,即相关条件同时成立.此类IF语句隐含有优先级的关系,可用来设计具有优先权的电路。2、上升沿的描述(教材P43)WAITUNTILclock='1';WAITUNTILrising_edge(clock);WAITUNTILNOTclock’STABLEANDclock='1';WAITUNTILclock='1'ANDclock’EVENT;通常采用第二和第四种格式的IF语句作为边

5、沿的描述。而第一种格式如果采用IFclock=‘1’则表示高电平。3、进程的使用(教材P56)IF语句是顺序语句,故应放在进程中。进程语句是一段复合语句,由一段程序构成,各个进程之间是并行进行的,而进程的内部语句都是顺序执行的。一个结构体中可以包括多个进程语句,多个进程之间依靠信号(SIGNAL)来传递。进程语句的格式如下:[标号:]PROCESS(敏感信号表)[说明语句];---------定义一些局部变量BEGIN[顺序语句];ENDPROCESS[标号];进程语句的格式如下:[标号:]PROCESS[说明语句];---------定义一些局部

6、变量BEGIN[顺序语句];WAITON敏感信号表;ENDPROCESS[标号];进行进程设计时应注意以下问题:1、进程为一个独立的无限循环语句。它只有两种状态:执行状态和等待状态。满足条件进入执行状态,当遇到endprocess语句后停止执行,自动返回到起始语句PROCESS,进入等待状态。2、进程语句本身是并行语句。即同一结构体中的不同进程是并行运行的,但不同的结构体是根据自身的敏感信号独立运行的。Entitymulisport(a,b,c,selx,sely:inbit;data_out:outbit);Endmul;architecture

7、exofmulissignaltemp:bit;beginp_a:process(a,b,selx)beginifselx=‘0’thentemp<=a;elsetemp<=b;endif;endprocessp_a;P_b:process(temp,c,sely)beginifsely=‘0’thendata_out<=temp;elsedata_out<=c;endif;endprocessp_b;Endex;3、进程中的顺序语句具有明显的顺序/并行运行双重性。即:进程中的顺序语句具有并行执行的性质。如:并行运行:Process(s,a,b,c

8、)BeginCasesIsWHEN"00"=>d<=a;WHEN"01"=>d<=b;WHEN"10"=>d<=c;WHE

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。