正负脉宽数控调制信号

正负脉宽数控调制信号

ID:38798544

大小:926.00 KB

页数:6页

时间:2019-06-19

正负脉宽数控调制信号_第1页
正负脉宽数控调制信号_第2页
正负脉宽数控调制信号_第3页
正负脉宽数控调制信号_第4页
正负脉宽数控调制信号_第5页
资源描述:

《正负脉宽数控调制信号》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术课程大作业设计题目:正负脉宽数控调制信号发生器院系:电子信息与电气工程系学生姓名:学号:200902070021专业班级:09电子信息工程专升本2010年12月10日正负脉宽数控调制信号发生器1.设计背景和设计方案1.1设计背景信号发生器是能够产生大量标准信号和用户定义信号,并保证它的高精度和高稳定性,可重复性和易操作性的电子仪器。函数信号发生器应该具有连续的相位变换和频率稳定性等优点,不仅可以模拟各种复杂信号还可以对频率、幅值、波形、相移进行动态的及时控制。90年代末出现的几种真正高性能的函数信号发生器,但引导技术潮流的仍是国外的几

2、个仪器公司生产的。这些公司生产的信号发生器和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,给出低失真的正弦波和三角波。1.2设计任务设计一个正负脉宽数控调制信号发生器。2.方案实施2.1方案构思下图1-1是脉宽数控调制信号发生器逻辑图,此信号发生器是由两个完全相同的可自加载加法计数LCNT8组成的,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。图1-1脉宽数控调制信号发生器逻辑图如果将初始值可预置的加法计数器的溢出信号作为本计数器的初始预置加载信号LD,则可构成计数初值自加载方式的加法计数器,从而构成数控分频器。图1-

3、1中D触发器的一个重要功能就是均匀输出信号的占空比,提高驱动能力,这对驱动,诸如扬声器或电动机十分重要。2.2模块设计可自加载加法计数器LCNT8,及D触发器如下图所示:图2可自加载加法计数器LCNT8图3D触发器取计数器的端口为:脉冲输入端CLK,加载使能输入端LD(高电平有效)、预置输入端D、计数进位输出端CAO。当脉冲CLK上升沿到来之时,若加载使能端LD有效,则通过预置端D可对计数器进行预置数。之后,计数器就以此预置数为开始,一直加1计数。至计数到255时,输出端CAO输出1。1、子模块设计8位可自加载加法计数器的源程序LCNT8.VH

4、D如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYLCNT8ISPORT(CLK,LD:INSTD_LOGIC;D:ININTEGERRANGE0TO255;CAO:OUTSTD_LOGIC);ENDLCNT8;ARCHITECTUREARTOFLCNT8ISSIGNALCOUNT:INTEGERRANGE0TO255;BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENIFLD='1'THENCOUNT<=D;ELSECOUNT<=COUNT+1;END

5、IF;ENDIF;ENDPROCESS;PROCESS(COUNT)BEGINIFCOUNT=255THENCAO<='1';ELSECAO<='0';ENDIF;ENDPROCESS;ENDART;2、顶程模块设计正负脉冲数控调制信号发生器是由两个完全相同的可加载加法计数器LCNT8组成的,它的输出信号的高低电平脉冲宽可分别由两组8位预置数进行控制。如果将初始值可预置的加法计数器的进位信号作为本计数器的初始预置加载信号LD,则可构成计数初始值自加载方式的加法计数器,从而构成数控分频器,如下图:取顶层文件中信号PINT,当计数器1输出CAO1=

6、1时,PINT=0;当计数器2输出CAO2上升沿脉冲到来时,PINT=1。将PINT=1赋予信号发生器的输出端POUT。就可以得到高低电平宽度可调的方波信号。先将预置数预置好,则CAO1输出1后,LD1=NOTPINT=1,计数器1立刻进行预置,重新计数;计数器2依然。于是产生持续的可调脉宽信号发生器。正负脉宽数控调制信号发生器的源程序PULSE.VHD如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYPULSEISPORT(CLK:INSTD_LOGIC;A,B:INSTD_LOGIC_VECTO

7、R(7DOWNTO0);PSOUT:OUTSTD_LOGIC);ENDPULSE;ARCHITECTUREARTOFPULSEISCOMPONENTLCNT8PORT(CLK,LD:INSTD_LOGIC;D:INSTD_LOGIC_VECTOR(7DOWNTO0);CAO:OUTSTD_LOGIC);ENDCOMPONENT;SIGNALCAO1,CAO2:STD_LOGIC;SIGNALLD1,LD2:STD_LOGIC;SIGNALPSINT:STD_LOGIC;BEGINU1:LCNT8PORTMAP(CLK=>CLK,LD=>LD1,

8、D=>A,CAO=>CAO1);U2:LCNT8PORTMAP(CLK=>CLK,LD=>LD2,D=>B,CAO=>CAO2);PROCESS(CA

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。