基于FPGA的数据缓存与转发系统设计【开题报告】

基于FPGA的数据缓存与转发系统设计【开题报告】

ID:440071

大小:36.54 KB

页数:4页

时间:2017-08-02

基于FPGA的数据缓存与转发系统设计【开题报告】_第1页
基于FPGA的数据缓存与转发系统设计【开题报告】_第2页
基于FPGA的数据缓存与转发系统设计【开题报告】_第3页
基于FPGA的数据缓存与转发系统设计【开题报告】_第4页
资源描述:

《基于FPGA的数据缓存与转发系统设计【开题报告】》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、毕业设计开题报告电子信息工程基于FPGA的数据缓存与转发系统设计一、综述本课题国内外研究动态,说明选题的依据和意义1.国内外研究动态:在本设计中主要用到了FPGA芯片,IP数据报,TCP,UDP,ICMP协议和Verilog语言等知识。那么它们的研究动态如下:近年来国内外对此类的相关研究迅速增加,就我国国内的研究状况来说,目前,主要是中国移动和中国联通两大运营商对IP技术做了一些研究,有了一定的成就。但是,基于FPGA的IP技术还不是很成熟,用于通信实际生活中的还存在着很多问题。而国外对这类技术的研究比较早,技术比较成熟。在以后的信息时代,随着网络、多媒体、

2、通信技术的快速发展,此类的技术会进一步被完善,来满足人们更多的需求[1]。在上个世纪90年代,IETF在无线网络技术的推动下就开始对IP技术进行了研究,并制定了相关的技术规范。IP现在有两个版本,分别为IPv4和IPv6。目前广泛使用的是IPv4。以后,要根据技术和应用需求对这两个协议进行修改和完善。随着人们对移动通信业务的需求日益迫切,用户的入网注册、路由选择、安全防护,已使IPv4的局限性暴露出来,并成为IPv6产生和发展的必要趋势[7]。FPGA是现场可编程门阵列(Field-ProgrammableGateArray)的简称,它最早是由Xilinx公

3、司推出。它是一种在PAL、GAL、CPLD等可编程器件的基础上发展的产物[11]。在C语言基础上发展的Verilog语言作为IEEE的工业标准硬件描述语言,得到了众多EDA公司的支持,在电子工程领域中,它已成为实际上的通用硬件描述语言。目前,用硬件描述语言(Verilog)完成的电路设计,能够经过简单的综合与布局,快速的烧写到FPGA上进行测试。这些可编辑元件能够被用来实现部分基本的逻辑门电路或者一些更复杂的组合功能[6]。目前,很多学者、专业技术人员在基于FPGA的IP数据报的缓存和转发方面做了深入细致的研究,已经在理论和实际中得到基本实现,但是还不够完善

4、。为保证IP数据报传输的安全可靠性,对其系统质量的要求也日益提高,这对基于FPGA的IP数据报的缓存和转发方法理论和实现技术提出了更高的要求,未来的基于FPGA的I技术将向智能化、复杂化、快速化、高可靠性等方面发展。2.选题的依据:FPGA芯片具备了门阵列器件的高集成度和通用性,又具备了可编程逻辑器件的灵活性。可以实现所有逻辑功能,满足各种设计的需求。它速度快,功耗低,特别适合复杂系统的设计。如果加电,FPGA芯片就会将EPROM中的数据读入片内RAM中,当配置完成之后,FPGA芯片就进入工作状态。如果掉电,FPGA芯片就会恢复成白片,内部逻辑关系就会消失,

5、所以,FPGA芯片能够反复被使用。同一片FPGA芯片,不同的编程数据,就可以产生不同的电路功能。这样,FPGA芯片的使用非常灵活[13]。在数据缓存和转发方面,FPGA有着DSP无法比拟的优势。FPGA芯片的时钟频率高。它的内部时延小,全部控制逻辑都能够由硬件完成,而且速度快,组成方式灵活。例如:从接收的IP数据报中判断是TCP,UDP,ICMP协议,将报文进行缓存,根据整个系统的设计要求,将不同的数据报发送到CPU。而利用EDA工具进行设计、综合仿真和验证,则能够加速设计的过程,降低开发风险,缩短了开发周期。本数据缓存和转发系统就是基于FPGA技术设计的多

6、路数据进行缓存和转发系统。此外,基于FPGA设计的数据缓存和转发系统还可以方便地进行远程功能扩展,以适应不同应用场合的需要[7]。基于FPGA对IP技术的利用和可编程系统集成进行数据的缓存和转发是指:通信实体要通过标准向结点发出一个IP数据包,将该包的目地地址与自己结点的归属地址相比,如果与其中任一地址相同,则继续下一步,否则就丢弃。即在FPGA上实现:接收的IP数据报的缓存,然后再判断是TCP,UDP,ICMP协议,计算正确报文数,限制报文泛滥,最后把符合要求的报文进行转发。 3.选题的意义:21世纪互联网络快速的发展,改变了社会运行的基本方式,促进了高端

7、科技的飞速发展,极大地改变了人们的工作和生活方式。随着多媒体、现代网络以及通信技术的快速发展,以及它们各自性能的不断改善,IP网络技术就不断被发展、壮大和进一步完善,它将以无法比拟的优势被Internet、Extranet和Intranet广泛应用,进一步为政府机关、科研单位、医疗机构、商业集团、及个人的生活提供了便利的条件,进一步成为工作、学习、生活中不可或缺的工具[1]。利用EDA工具进行设计、仿真和验证,能够加速设计的过程,降低开发风险,缩短开发周期。本设计采用的是FPGA芯片,它的的设计周期短、灵活,能够提高系统的可靠性和集成度。它能够以其优势来处理

8、IP数据报中的数据,大大减轻了CPU的负担。另外,它

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。