verilog分享--verilog快速掌握之模块例化

verilog分享--verilog快速掌握之模块例化

ID:46580347

大小:240.79 KB

页数:3页

时间:2019-11-25

verilog分享--verilog快速掌握之模块例化_第1页
verilog分享--verilog快速掌握之模块例化_第2页
verilog分享--verilog快速掌握之模块例化_第3页
资源描述:

《verilog分享--verilog快速掌握之模块例化》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、verilog快速掌握之模块例化一、什么是例化1)FPGA逻辑设计中通常是一个大的模块中包含了一个或多个功能子模块,verilog通过模块调用或称为模块实例化的方式来实现这些子模块与高层模块的连接,有利于简化每一个模块的代码,易于维护和修改。2)如下图,当有了例化的方法之后,当模块中需要两个同样功能的USB模块时,我们只需要编写好一个usb模块的代码,之后通过例化,就可以将俩个usb模块添加到顶层模块之中。(注意,我们要有硬件思维,例化一个模块,那么就有一个硬件与之对应。例化多个,就有多个硬件。)二、例化方法1)首先我们设计好我们

2、需要的功能模块,如下图左边的uart模块;2)之后我们在大模块例化uart模块,如下图右边的例化格式,信号列表中“.”之后的信号是uart模块定义的信号,括号内的信号则是在大模块中申明的信号,这样就将大模块的信号与uart模块信号一一对应起来。三、参数例化1)模块例化还有一个好处就是在调用的时候还可以传递/改变参数。如下图,我们设计了一个uart模块,他的数据位宽只有8位,但是如果我们需要一个16位宽的uart模块,这时候我们可以通过参数例化来减少重新编写模块的工作量。2)我们定义数据位宽为DATA_W=83)在例化的时候我们可以

3、如下图,在模块名后面加上“#(.DATA_W(16))”,就可以将数据位宽定义为16位。注意,大家务必掌握参数传递的方法,点拨FPGA课程后面有一部分练习要计时到1秒时间,如果modelsim真的要仿真1秒,跑一个用例就要花费好几分钟或者几个小时,这样的低效率是难以忍受的。我们仿真时,在测试文件改变1秒的参数值,将它变得很小,这样就能加快仿真迅速。详细情况,学到相应章节自然会清楚的。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。