EDA技术及应用_第5章_2.ppt

EDA技术及应用_第5章_2.ppt

ID:48183470

大小:535.00 KB

页数:38页

时间:2020-01-18

EDA技术及应用_第5章_2.ppt_第1页
EDA技术及应用_第5章_2.ppt_第2页
EDA技术及应用_第5章_2.ppt_第3页
EDA技术及应用_第5章_2.ppt_第4页
EDA技术及应用_第5章_2.ppt_第5页
资源描述:

《EDA技术及应用_第5章_2.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、15.1.3库、程序包VHDL的基本结构由前述的实体和结构体组成,但实际中的VHDL程序除上述两个基本部分以外通常还包括另外三个部分:库、程序包和配置库:主要用来存放已经编译的实体、结构体、程序包等;程序包:主要用来存放各个设计都能共享的数据类型、子程序、常数和元件等;2VHDL库中的各个设计单元:实体、结构体、程序包和配置都可以作为其他设计的资源,一个设计可以使用多个库中的设计单元。当一个设计要使用库中的已编译单元时,必须要在每个设计的VHDL源代码的开头说明要引用的库,然后使用USE子句指明要使用库中的哪一个设计单元。(1)库说明语句

2、格式:library<库名>;以library开头,后面紧跟着设计中要使用的库的名字库说明语句使该库对于设计可见(2)USE子句格式:use<库名>.<程序包名>.all;库名是前面库说明语句中说明的库程序包名是设计中要使用的库中的设计单元all表示使用程序包中的所有资源1.库(Library)3ENTITYexampleISPORT(d:INSTD_LOGIC_VECTOR(15DOWNTO0);clk,reset,oe:INSTD_LOGIC;q1:OUTSTD_LOGIC_VECTOR(15DOWNTO0);q2:INOUTSTD_

3、LOGIC_VECTOR(15DOWNTO0);int:BUFFERSTD_LOGIC;opt:OUTSTD_LOGIC);ENDexample;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;【程序5.1.8】4在VHDL中,设计的实体说明和结构体中定义的数据类型、子程序说明、属性说明和元件说明等部分只能在该设计实体中使用,而对于其他设计实体是不可见的。为减少重复定义工作,VHDL提出了程序包的概念,用来存放能够共享的数据类型、子程序说明、属性说明和元件说明等。程序包说明部分:主要对数据类型、子程序、常量和

4、元件等进行说明PACKAGE程序包名IS--程序包首程序包说明部分END程序包名;程序包体部分:用来规定程序包的实际功能。(1)程序包的结构:PACKAGEBODY程序包名IS--程序包体程序包体说明部分以及包体内容END程序包名;2.程序包5预定义的程序包STD_LOGIC_1164程序包STD_LOGIC_ARITH程序包STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNED程序包STANDARD和TEXTIO程序包STD_LOGIC_1164程序包:是IEEE库中最常用的程序包,其中包含了一些数据类型、子类型和函数的

5、定义。这些定义将VHDL扩展成一个可以描述多值逻辑的硬件描述语言。该程序包中最常用的两个数据类型是:STD_LOGIC和STD_LOGIC_VECTOR。(2)常用的预定义程序包:6STANDARD和TEXTIO程序包:Standard和textio程序包是std库中的预编译程序包。Standard中定义了许多基本的数据类型等。Textio程序包主要供仿真器使用。可以用文本编辑器建立一个数据文件,文件中包含仿真时需要的数据,仿真时用textio程序包的子程序存取这些数据。STD_LOGIC_UNSIGNED和STD_LOGIC_SIGNE

6、D程序包:Std_logic_unsigned和std_logic_signed程序包都是Synopsys公司的程序包,预先编译在IEEE库中。此程序包定义了可用于Integer型及Std_logic和std_logic_vector型混合运算的运算符。还定义了一个从std_logic_vector到integer型的转换函数。STD_LOGIC_ARITH程序包:STD_LOGIC_ARITH程序包预先编译在IEEE库中,是Synopsys公司的程序包。此程序包在STD_LOGIC_1164程序包的基础上扩展了3个数据类型,UNSIGN

7、ED、SIGNED和SMALL_INT,并为其定义了相关的算术运算符和转换函数。7libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;85.2VHDL语言要素5.2.1VHDL的文字规则与其他计算机高级语言一样,VHDL也有自己的文字规则VHDL文字主要包括数字、标识符、字符和字符串等。1.标识符标识符主要用来为常数、变量、信号、端口、子程序和参数等命名。有效的字符:包括26个大小写英文字母,数字

8、包括0~9以及下划线“_”任何标识符必须以英文字母开头下划线“_”的前后必须有英文字母或数字标识符中的英语字母不分大小写例:Decoder_1,FFT,sig_n,Not_ACK是正确的而_D

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。