EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt

EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt

ID:50321961

大小:8.15 MB

页数:52页

时间:2020-03-08

EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt_第1页
EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt_第2页
EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt_第3页
EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt_第4页
EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt_第5页
资源描述:

《EDA技术及应用 教学课件 作者 孙宏国第5章 EDA技术工程应用实例EDA技术与应用.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术及应用--第5章EDA技术工程应用实例作者:孙宏国周磊E-mail:sunhg@ycit.cnnuli199@163.com单位:盐城工学院出版社:机械工业出版社第5章EDA技术工程应用实例5.1SOPC设计5.2基于FPGA的PID算法实现5.1SOPC设计5.1.1概述作为PLD和ASIC相结合的产物SOPC(SystemonProgrammableChip,片上可编程系统),可以在单片PLD器件上实现SOC。SOPC系统的硬件由一个软核CPU(Altera的NiosII)加上各种标准的IP核及用户自定义IP核组成,Altera提供的Qsys嵌入式套件作为硬件系统的集

2、成工具。SOPC软件系统可以使用高级C语言进行系统工程实现,Altera提供了基于开源的Eclipse的NiosII11.1SoftwareBuiderToolsforEclipse来支持系统功能的开发。层次设计Qsys内部互联NiosII系统框图NiosII处理器原理框图NiosII硬件和软件开发流程图软件的目录结构BSP工程的基本结构基于HAL系统的层次结构图5.1.2HelloWorld工程1.硬件系统设计Qsys界面CPU设置设置外部SDRAM控制器设置ROM控制器的数据及地址总线设置ROM控制器的时序组件总线连接外部Flash接口及三态桥的总线连接jtag_uart设置S

3、ysid设置浮点硬件组件sys_timer设置系统总线连接系统地址空间分配最终生成的Qsys系统图CPU复位设置生成Qsys系统添加sys_HD至顶层原理图文件顶层原理图采用的命令:to,location采用的模板形式:to,locationName1PIN_XXName2PIN_XX插入工程配置,进行引脚锁定。采用的命令:set_location_assignment-to采用的模板形式:set_location_assignmentPIN_XX-toName1set_location_assignmentPIN_XX-toName2使用tcl脚本,进行引脚锁定。插入引脚配置移除

4、引脚配置QuartusII编程下载器JTAG下载器设置Configuration设置转换sof文件·编程pof文件2.软件系统设计打开NiosIISBT选择NiosII视图创建应用工程向导创建完工程后的NiosIISBT界面BSPEditorMain标签页运行NiosII硬件配置查看系统ID属性Flash编程设置Flash编程对话框连接EPCS控制器到总线CPU复位向量设置EPCS控制器的引脚连接情况设置EPCS外部引脚端口Flash编程5.2基于FPGA的PID算法实现5.2.1概述模拟PID控制系统原理框图位置式PID实现原理框图使用了3个浮点乘法器、3个浮点加法器和3个寄存器

5、,实现了位置式数字PID控制器。如果只需要增量型数字PID控制器,可以移除图中的虚线下方的加法器和寄存器即可。图5-52PID顶层原理图浮点数加减器创建向导第2a页浮点数加减器创建向导第1页浮点乘法器向导第3页浮点转换器向导第3页十进制数累加器向导第1页十进制数累加器向导第4页选择ALTPLL软核clk_pll创建向导第1页clk_pll创建向导第2页clk_pll创建向导第6页clk_pll创建向导第8页counter_n计数器的源程序如下:libraryieee;useIEEE.std_logic_1164.all;useIEEE.std_logic_arith.all;use

6、IEEE.std_logic_unsigned.all;entitycounter_nisgeneric(counter_cc:integer:=16;n_width:integer:=9);port(clk_c:instd_logic;ena:instd_logic;cc_out:outstd_logic;rst:instd_logic);endcounter_n;architecturevco_doOFcounter_nissignalreg_12:std_logic_vector(n_widthdownto0);signalcc_out_pre:std_logic;begin

7、process(clk_c,rst)beginifrst='1'thenreg_12<=(others=>'0');cc_out_pre<='0';elsifena='1'thenifclk_c'eventandclk_c='1'thenif(conv_integer(reg_12)>=counter_cc)thenreg_12<=(others=>'0');cc_out_pre<='1';elsecc_out_pre<='0';reg_12<=reg_12+1;en

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。