实验2 五人表决器(无源码).pdf

实验2 五人表决器(无源码).pdf

ID:51506430

大小:1.88 MB

页数:14页

时间:2020-03-25

实验2 五人表决器(无源码).pdf_第1页
实验2 五人表决器(无源码).pdf_第2页
实验2 五人表决器(无源码).pdf_第3页
实验2 五人表决器(无源码).pdf_第4页
实验2 五人表决器(无源码).pdf_第5页
资源描述:

《实验2 五人表决器(无源码).pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、长安大学电子与控制工程学院电子科学与技术系实验二五人表决器一、实验目的:设计一个五人表决器,掌握异步清零以及锁存器的工作机制,掌握QuartusII软件的使用方法以及GW48型SOPC开发平台中的输入输出模式配置方法。二、实验条件:1.安装WindowsXP系统的PC机;2.安装QuartusII6.0EDA软件;3.GW48型SOPC开发平台;三、实验要求:通过VHDL编程,实现一个五人表决器,要求有5个表决输入端、1个清零端、1个锁存端、表决结果显示端,具体接口说明如下图所示。vote5v_in:表决信号输入端,高电平为赞成,低电平为反

2、对;v_in[4..0]v_over[2..0]lock:判决锁存信号,上升沿表决结束,锁存locknum_agr[3..0]clrnum_opp[3..0]表决输入信号,并计算输出表决信息;v_out[4..0]clr:清零信号,高电平有效,进入新的一次表led_agr决过程;led_oppv_over:表决结束信号,高电平有效,清零信号有效后,此信号为低电平。num_agr:BCD码输出显示表决结果中赞成的inst人数;num_opp:BCD码输出显示表决结果中反对的人数;v_out:对应显示每个表决信号的状态;led_agr:判决结果

3、为赞成时有效;led_opp:判决结果为反对时有效;首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。在硬件实现中,要求:1.用实验平台的拨动开关实现5人表决的输入信号(v_in):v_in(3)v_in(1)v_in(4)v_in(2)v_in(0)注:要求使用最右面5个开关。2.用实验平台的按键实现清零(clr)和锁存(lock)信号:注:采用模式4的输入方式,要求使用键7实现清零(clr)、键6实现锁存(lock)。(模式4的I/O设置见附录)3.用实验平台的数码管实现赞成和反对人数的

4、显示:注:要求使用数码管6显示赞成票数、数码管5显示反对票数。-1-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系赞成反对票数票数清零锁存4.用实验平台的LED发光阵列实现表决结果和每人的表决信号:⒈⒉⒊⒋⒌⒍⒎⒏⒐⒑⒒⒓⒔⒕⒖⒗注:要求LED1显示判决赞成(led_agr)信号,LED8显示判决反对(led_opp)信号。LED3、LED4、LED5同时显示判决结束信号。LED10、LED11、LED12、LED13、LED14显示5人的表决信号。功能具体要求:当系统启动后,数码管5、6分别显示“FF”字样,所有用到的数码管熄灭

5、,表决开始,用户此时可以通过拨动开关输入5人的表决意见,并通过LED10~LED14分别显示出来,当用户按下lock按键(按键6)后,LED10~LED14的状态被锁存,LED3、LED4、LED5同时点亮,此时无论如何输入表决意见LED10~LED14的状态都不会改变,同时系统会计算出来赞成的人数和反对的人数,并分别用数码管5、6显示出来,而且系统会计算出来赞成的人数和反对的人数的大小关系,并通过LED1和LED8显示出来最终判决的表决结果(判决赞成:LED1亮;判决反对:LED8亮)。此时用户按下清除键clr(按键7)后,系统又恢复成为

6、刚启动状态。四、实验步骤:1.打开QuartusII软件,建立一个新的工程:1)单击菜单FileNewProjectWizard…-2-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系2)输入工程的路径、工程名以及顶层实体名。3)单击Next>按钮,出现以下窗口由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。4)设置我们的器件信息:5)单击Next>,指定第三方工具:-3-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系这里我们不指定第三方EDA工具,单击Next>后结束工程建立。2.建立VHDL文

7、件:1)单击FileNew菜单项,选择弹出窗口中的VHDLFile项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。2)在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工-4-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系程时所设定的顶层实体名相同。(参考程序附后)3)编译工程单击ProcessingStartCompilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。3.建立矢量波形文件1)单击FileNew命令,在弹出的对话框

8、中选择OtherFiles页面中的VectorWaveformFile项,打开矢量波形文件编辑窗口:2)双击窗口左边空白区域,打开InsertNodeorBus对话框:3)单击N

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。