实验6 双向移位寄存器(无源码).pdf

实验6 双向移位寄存器(无源码).pdf

ID:52253688

大小:1.66 MB

页数:13页

时间:2020-03-25

实验6 双向移位寄存器(无源码).pdf_第1页
实验6 双向移位寄存器(无源码).pdf_第2页
实验6 双向移位寄存器(无源码).pdf_第3页
实验6 双向移位寄存器(无源码).pdf_第4页
实验6 双向移位寄存器(无源码).pdf_第5页
资源描述:

《实验6 双向移位寄存器(无源码).pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、长安大学电子与控制工程学院电子科学与技术系实验六双向移位寄存器一、实验目的:设计一个双向移位寄存器,理解移位寄存器的工作原理,掌握串入并出端口控制的描述方法。二、实验条件:1.安装WindowsXP系统的PC机;2.安装QuartusII6.0EDA软件;3.GW48型SOPC开发平台;三、实验要求:通过VHDL编程,实现双向移位寄存器,要求有1个方向控制端、1个时钟脉冲输入、1个异步清零端、1个数据输入端以及8位的并行数据输出端,具体接口说明如下图所示。d_regclk:移位寄存器时钟脉冲输入,上升沿有效;clkdout[7..0]din:串行数据输

2、入端;dinclr:异步清零信号,高电平有效;clrdir:方向控制端,要求低电平左移,高电平右移;dirdout[7..0]:8位数据并行输出端;inst首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。在硬件实现中,要求:1.用实验平台的按键实现时钟控制(clk)、方向控制(dir)、清零(clr)以及数据输入(din):注:本实验采用模式7的输入方式,如下表:(模式7的I/O设置见附录)端口名按键名功能clk键7时钟控制din键8数据输入clr键1异步清零dir键5方向控制dindirclkclr-

3、1-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系2.用实验平台的LED发光阵列的LED1~LED8显示并行数据的输出。dout[7]dout[6]dout[5]dout[4]dout[3]dout[2]dout[1]dout[0]⒈⒉⒊⒋⒌⒍⒎⒏⒐⒑⒒⒓⒔⒕⒖⒗四、实验步骤:1.打开QuartusII软件,建立一个新的工程:1)单击菜单FileNewProjectWizard…2)输入工程的路径、工程名以及顶层实体名。3)单击Next>按钮,出现以下窗口由于我们建立的是一个空的项目,所以没有包含已有文件,单击Next>继续。4)设置我们的器

4、件信息:-2-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系5)单击Next>,指定第三方工具:这里我们不指定第三方EDA工具,单击Next>后结束工程建立。2.建立VHDL文件:-3-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系1)单击FileNew菜单项,选择弹出窗口中的VHDLFile项,单击OK按钮以建立打开空的VHDL文件,注意此文件并没有在硬盘中保存。2)在编辑窗口中输入VHDL源文件并保存,注意实体名、文件名必须和建立工程时所设定的顶层实体名相同。(参考程序附后)3)编译工程单击ProcessingStartCom

5、pilation开始编译,编译过程中可能会显示若干出错消息,参考提示原因对程序进行修改直到编译完全成功为止。3.建立矢量波形文件1)单击FileNew命令,在弹出的对话框中选择OtherFiles页面中的VectorWaveformFile项,打开矢量波形文件编辑窗口:2)双击窗口左边空白区域,打开InsertNodeorBus对话框:-4-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系3)单击NodeFinder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List按键以列出所有的端口,通过>>按钮把这些端口加

6、入到右面的窗口中,单击OK完成端口的添加。4)回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。4.进行功能仿真1)单击AssignmentsSettings…,在弹出对话框中做以下设置:-5-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系如图所示,Simulationmode设置为Functional,即功能仿真。指定仿真波形文件后单击OK完成设置。2)单击ProcessingGenerateFunctionalSimulationNetlist以获

7、得功能仿真网络表。3)单击ProcessingStartSimulation进入仿真页面:注:此仿真中不包含延迟信息。根据仿真结果可以修改程序以期达到实验要求。5.进行时序仿真如果功能仿真无误,可进入时序仿真,时序仿真是增加了相关延迟的仿真,是最接近实际情况的仿真。1)单击AssignmentsSettings…,在弹出对话框中做以下设置:-6-制作人:程鸿亮长安大学电子与控制工程学院电子科学与技术系如图所示,Simulationmode设置为Timing,即时序仿真。指定仿真波形文件后单击OK完成设置。2)单击ProcessingStartSim

8、ulation进入仿真页面:如果在时序上也没有问题,就可以进入下载工作了。6.器

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。