EDA技术与VHDL设计第6章VHDL结构与要素.ppt

EDA技术与VHDL设计第6章VHDL结构与要素.ppt

ID:55621577

大小:237.50 KB

页数:63页

时间:2020-05-20

EDA技术与VHDL设计第6章VHDL结构与要素.ppt_第1页
EDA技术与VHDL设计第6章VHDL结构与要素.ppt_第2页
EDA技术与VHDL设计第6章VHDL结构与要素.ppt_第3页
EDA技术与VHDL设计第6章VHDL结构与要素.ppt_第4页
EDA技术与VHDL设计第6章VHDL结构与要素.ppt_第5页
资源描述:

《EDA技术与VHDL设计第6章VHDL结构与要素.ppt》由会员上传分享,免费在线阅读,更多相关内容在PPT专区-天天文库

1、第6章VHDL结构与要素EDA技术与VHDL设计6.1实体结构体VHDL库配置VHDL程序包VHDL数据类型VHDL文字规则6.26.36.46.56.66.7VHDL结构与要素VHDL操作符6.8VHDL数据类型6.7先看一个例子:D触发器libraryieee;useieee.std_logic_1164.all;entitydffisport(clk,clr,d:instd_logic;q:outstd_logic);architecturertlofdffisbeginprocess(clr,clk)beginifclr=‘1’thenq<=‘0’;elsifclk’eventan

2、dclk=‘1’thenq<=d;endif;endprocess;endrtl;实体结构体库实体一般格式6.1实体entity实体名isport(引脚名:引脚属性类型;...引脚名:引脚属性类型);endentity实体名;类属参数是VHDL的一个术语,用以将信息参数传递到实体。参数传递语句的一般格式如下:6.1.1类属参数说明generic([常数名:数据类型[:设定值]{;常数名:数据类型[:设定值]});例:在译码器中使用类属语句entitydecoderisgeneric(N:positive;--N表示输入端口的数目port(sel:inbit_vector(1toN);dou

3、t:outbit_vector(1to2**N));endentitydecoder;例:使用类属语句表示延迟entitygateisgeneric(delay:time:=5ns;port(…);endentitygate;…out<=in1andin2afterdelay;端口为设计实体和其外部环境提供动态通信的通道,功能相当于外部引脚。端口说明语句的一般格式如下:6.1.2端口说明port(端口名:端口模式数据类型;…端口名:端口模式数据类型);端口名是每个实体外部引脚的名称,通常用一个或几个英文字母或英文字母加数字命名,如d0、sel、q0等。端口模式用来定义外部引脚的信号方向,模

4、式有4种:in(输入)、out(输出)、buffer(缓冲器)、inout(双向)。端口名与端口模式6.2结构体结构体也叫构造体,描述基本设计单元的结构、行为、元器件及宁内部连接关系。结构体包括两个组成部分:(1)说明部分:对数据类型、常数、信号、子程序和元器件等要素进行说明。(2)描述语句部分:包括各种顺序语句和并行语句。结构体的一般格式architecture结构体名of实体名isbegin功能描述(包括并行、进程等)语句;endentity结构体名;6.2.1结构体的命名结构体名称由设计者自行定义,指明结构体归属的实体。结构体的命名有一定规则需要遵循,最好能体现不同的描述方式。6.2

5、.2结构体信号定义语句结构体信号定义必须在architecture和end之间。结构体中定义的信号应有名称和数据类型,但没有属性,这点与端口不同。结构体定义的信号只在本结构体有效,对于其它结构体则失去作用。6.3VHDL库库是经编译后的数据的集合,它存放程序包定义、实体定义、结构体定义和配置定义。库的好处在于使设计者可以共享已经编译过的设计结果。在VHDL语言中可以存在多个不同的库,但是库与库之间是独立的,不能相互嵌套。6.3.1库的种类当前在VHDL语言中存在的库大致可以归纳为5种:IEEE库、STD库、WORK库和用户自定义的库。1.IEEE库是VHDL设计中最为常用的库,包含有IEE

6、E标准的程序包和其它一些支持工业标准的程序包。IEEE库中的标准程序包主要包括NUMERIC_BIT、STD_LOGIC_1164等程序包。STD_LOGIC_1164是最重要和最常用的程序包。有些公司也提供一些程序包,虽非IEEE标准,但由于其已成为事实上的工业标准,也都并入了IEEE库。如SYNOPSYS的STD_LOGIC_ARITH、STD_LOGIC_SIGNED和STD_LOGIC_UNSIGNED。2.STD库是VHDL的标准库,库中还包含有称作“TEXTIO”的程序包。在使用“TEXTIO”程序包的数据时,应说明库和程序包名,然后才可以使用该程序包的数据。例如:LIBRAR

7、YIEEE;USESTD.TEXTIO.ALL;3.WORK库是现行作业库。设计者所描述的VHDL语句不需要任何说明,将都存放在WORK库中。使用该库时无需进行任何说明。工作库并非文件夹的名称,而是一个逻辑名。综合器将指向该文件夹的路径。4.用户自定义库用于为自身设计需要所开发的共用程序包和实体等,也可汇集在一起定义成一个库。6.3.2库的用法LIBRARY库名;USE库名.程序包名.项目名;例如,需要使用IEEE库中的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。