实验十QuartusII简明教程(简化).doc

实验十QuartusII简明教程(简化).doc

ID:59205893

大小:970.50 KB

页数:8页

时间:2020-09-10

实验十QuartusII简明教程(简化).doc_第1页
实验十QuartusII简明教程(简化).doc_第2页
实验十QuartusII简明教程(简化).doc_第3页
实验十QuartusII简明教程(简化).doc_第4页
实验十QuartusII简明教程(简化).doc_第5页
资源描述:

《实验十QuartusII简明教程(简化).doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验十QuartusII简明教程在本实验中,我们通过设计一个2输入与门的例子,学习QuartusⅡ软件的使用。1.文件及工程建立首先为该设计(工程)建立一个目录,如C:VHDLand2gate,然后运行QuartusⅡ6.0,进入QuartusⅡ6.0集成环境。1)新建文件选择菜单【File】→【New】,出现如图10-1所示的对话框,在框中选中【VHDLFile】,单击【OK】按钮,即选中文本编辑方式。在弹出的编辑窗口中输入and2gate.VHD源程序。输入完毕后,选择菜单【Flie】→【SaveA

2、s】,即出现文件保存对话框。首先选择存放本文件的目录C:VHDLand2gate,然后在【文件名】框中输入文件名and2gate,然后单击【保存】。即把输入的文件保存在指定的目录中。图10-2是新建的文件and2gate.VHD。本实验中的and2.VHD源程序如下:--and2gate.VHD源程序LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYand2gateISPORT(a,b:INSTD_LOGIC;y:OUTSTD_LOGIC);ENDand2gate

3、;ARCHITECTUREoneOFand2gateISBEGINy<=aandb;ENDone;图10-1新建文件类型的选择框图10-2新建的文件and2gate.VHD2)新建工程在弹出的窗口(图10-3)中点击【是(Y)】确认新建工程。或者执行【File】→【NewProjectWizard】命令,打开新建工程向导,将出现如图10-4所示的对话框。第一栏为工作目录,第二栏为工程名,第三栏为顶层文件的实体名(应与第二栏工程名保持一致)。图10-3保存VHD文件后弹出的窗口图10-4新建工程——工程参数设

4、置3)将文件添加到对应的工程点击【Next】将弹出如图10-5所示的添加文件操作界面,点击最上面【FileName】右侧的【…】按钮,找到工作目录下的and2gate.vhd文件并加入。或者单击【AddAll】按钮,将工作目录下的所有VHDL文件加入到此工程中。设置完成后,单击【Next】进入目标器件设置。4)选择目标芯片在弹出如图10-6所示的添加文件操作界面,首先在【Family】栏中选择ACEX1K系列;然后在【Targetdevice】选项框中选择【Specificdeviceselectedin‘

5、Availabledevices’list】,即选择一个确定的目标芯片。再在【Availabledevices】列表中选择具体芯片EP1K100QC208-3。单击【Finish】完成设置。2.工程编译及分析1)全编译。选择菜单【Processing】→【StartCompilation】或者按快捷键“Ctrl+L”执行全编译。编译时下面的【Processing】窗口会显示编译过程中的相关信息,如果发现警告和错误,会以深色标记条显示。警告不影响编译通过,但是错误编译不能通过,必须进行修改。双击【Proces

6、sing】栏中的错误显示条文,会弹出对应的VHDL文件,光标指示到错误处。在对错误进行分析修改后,再次进行编译,直至排除所有错误。2)编译结果的查看图10-5添加文件操作界面图10-6目标芯片选择(1)编译结果报告。全编译后,先后执行主菜单【Processing】下的【Compilationreport】和【TimingAnalyzerTool】子菜单,会分别出现编译结果报告窗口和典型时序分析窗口,可选择查看有关编译结果或执行【Start】进行典型时序分析。再执行主菜单【Window】下的【TileHori

7、zontally】,就会出现如图10-7所示的编译结果报告和时序分析报告。图10-7编译结果报告和时序分析报告图10-8RTL视图和工艺映射视图(2)电路网表结果。经过逻辑综合适配后,可以使用网表查看器查看有关电路网表信息。执行主菜单【Tools】=>【NetlistViewers】=>【RTLViewer】和【TechnologyMapViewer】查看RTL视图和技术映射视图。如图10-8所示。3.工程仿真及分析当工程编译通过之后,必须对其功能和时序进行仿真测试,以了解设计结果是否满足原设计要求。1)打

8、开波形编辑器执行【File】→【New】命令,在弹出的窗口中选择【OtherFiles】中的【VectorWaveformFile】项,打开空白的波形编辑器,如图10-9所示。2)设置仿真时间区域和最小时间周期将仿真时间设置在一个比较合理的时间区域。选择【Edit】菜单中的【EndTime…】项,在弹出窗口的【Time】栏处输入【100】,单位选择【ms】,将多个仿真区域的时间设为100 ms,单击【OK】按钮,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。