最新VCS使用教学讲义ppt.ppt

最新VCS使用教学讲义ppt.ppt

ID:62076478

大小:437.50 KB

页数:34页

时间:2021-04-14

最新VCS使用教学讲义ppt.ppt_第1页
最新VCS使用教学讲义ppt.ppt_第2页
最新VCS使用教学讲义ppt.ppt_第3页
最新VCS使用教学讲义ppt.ppt_第4页
最新VCS使用教学讲义ppt.ppt_第5页
资源描述:

《最新VCS使用教学讲义ppt.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VCS使用内容常用选项混合编译VCD/VCD+PLICoverage后仿真常用选项vcs-ffilelist-RI-line+difine+WIDTH=5vcsdir/*.v+incdir+inc_dir-RI-line–Mupdate其它选项:vcs-help演示保存波形两种波形文件VCD:ASCII文件VCD+:二进制文件VCD文件比较大(大概是VCD+的8倍),占用太多硬盘资源,但VCS在调用VCD文件时会自动转换成VCD+文件VCD文件(1)准备工作:修改testbanchinitialbegin$dumpfile("div_wave.vcd");$dumplimit(4096);$

2、dumpvars;//$dumpvars(0,div_tb)end(其他系统任务:$dumpoff$dumpon$dumpflush…见)VCD文件(2)生成VCD文件vcs*.v–RI查看VCD文件vcs–RPP*.v+vcdfile+div_wave.vcd(会自动生产div_wave.vcd.vpd文件)VCD+文件(1)准备工作:修改testbench文件initialbegin$vcdpluson(0,div_tb);$vcdplustraceon(div_tb);end(其它系统函数参见VCS_S11_Unit_04.pdf)VCD+文件(2

3、)生成VCD+文件vcs*.v–RI+vpdfile+div_wave.vpd(若不加+vpdfile+…,默认产生vcdplus.vpd文件)查看VCD+文件Vcs*.v–RPP+vpdfile+div_wave.vpdPLI(1)连接C程序与VCS仿真器的接口用途:编写自己的系统函数在testbench中产生激励(动态指令发生器)Verilog模块与Cmodel联合仿真PLI(2)3个文件.c文件:c函数.tab文件:将c函数映射为verilog中可调用的系统函数.v文件:调用.tab中的系统函数(实际上是调用其映射的c函数)PLI(3)C函数举例:-------------------

4、-----------------------------------------------------------------------------------------------------------------------------------#include"vcsuser.h“voidmycall(){floata,b;intresult;a=(float)tf_getp(1);//a读入第一个参数b=(float)tf_getp(2);//b读入第二个参数result=(a/b)*8388608;//浮点除法tf_putp(3,result);//将结果存入第三个参数

5、io_printf("a=%d,b=%d,result=%d",(int)a,(int)b,result);}voidabs(){inta,b;intc;a=tf_getp(1);//a读入第一个参数b=tf_getp(2);//b读入第二个参数c=(a-b>=0)?(a-b):(b-a);//c为a-b的绝对值tf_putp(3,c);//将绝对值存入第三个参数}--------------------------------------------------------------------------------------------------//注:tf_getp,tf_pu

6、tp和io_print是pli的库函数PLI(4)头文件与库函数两个头文件a、"acc_user.h“b、"vcsuser.h"pli库函数:io_printf----打印tf_nump----读取参数个数tf_typep----参数类型tf_getp-----取得参数的值tf_putp-----传回一个整型给调用的任务或函数(更多参见)PLI(5).tab文件------------------------------------------------------------

7、--------------------------------------$dividercall=mycall$abscall=abs--------------------------------------------------------------------------------------------------注1:$divider是系统函数,verilog中可调用,mycall是映射的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。