基于eda技术的四人电子抢答器

基于eda技术的四人电子抢答器

ID:10883198

大小:369.50 KB

页数:5页

时间:2018-07-08

基于eda技术的四人电子抢答器_第1页
基于eda技术的四人电子抢答器_第2页
基于eda技术的四人电子抢答器_第3页
基于eda技术的四人电子抢答器_第4页
基于eda技术的四人电子抢答器_第5页
资源描述:

《基于eda技术的四人电子抢答器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于EDA技术电子抢答器的设计冯贵锋(广东工程职业技术学院电子信息工程技术)摘要:本设计采用EDA(电子设计自动化)技术,利用层次化设计方法设计4位抢答器,首先给出系统的功能描述,然后进行功能分解,逐层设计。在抢答器设计过程中,层次化思想体现在利用VHDL语言实现显示功能,在仿真成功的基础上,利用Altera公司的FPGA(现场可编程门阵列)芯片EP1K30QC208-2来实现该4位抢答器。实践证明,所设计的4位抢答器运行稳定,可靠性达到设计要求,分辨力较高,且无论是前面的锁存还是后面的显示都具有很大的拓展空间,可以很方便

2、地得到拓展。关键词:层次化,FPGA,电子抢答器,EDA一、4位抢答器系统功能及功能分解在各种知识竞赛中,电子抢答器是必不可少的设备之一,它的整体功能如下:每个参赛者控制一个抢答按钮,主持人控制复位按钮,每个参赛选手对应一个号码,竞赛开始后,LED(发光二极管)显示器上显示先按动按钮的选手号码,此后其他人再按动按钮对电路不起作用。当主持人按下复位按钮后可进行新一轮抢答。将上述功能分解成显示功能、锁存功能、优先排序功能。在设计过程中,利用图形输入来实现锁存和优先排序功能,将排序输出作为显示的输入,利用VHDL语言实现显示功能

3、。二、锁存与优先排序功能的实现利用MXA+PLUSⅡ软件的图形界面设计该功能,由于触发器具有锁存功能,所以采用74LS175触发器来保存数据,用与或非门来实现优先级别的判断。用aa、bb、cc、dd表示4位抢答输入按钮,接到输入端,clr表示复位按钮,接到复位端,clk表示时钟输入按钮,采用周期为200ns的时钟源作为输入,Qa、Qb、Qc、Qd作为输出端,接到显示的输入端。如下图所示:5编译完成后,生成qdq.Sym,供顶层文件调用。三、显示功能的实现抢答者的号码通过共阴七段译码管显示,当1号选手首先按下时显示1,依类推

4、,当按下复位键或其他非选手号码输入时,译码管显示为0。采用MAX+PLUSⅡ环境下的VHDL语言编写显示程序,进入环境。输入程序xianshivhd如下:libraryieee;useieee.std_logic_1164.all;entityxianshiisport(d3,d2,d1,d0:instd_logic;a,b,c,d,e,f,g:outstd_logic);endxianshi;architecturearc_xianshiofxianshiissignaldin:std_logic_vector(3dow

5、nto0);signaldout:std_logic_vector(6downto0);begindin<=d3&d2&d1&d0;process(din)5begincasediniswhen"0000"=>dout<="1111110";when"0001"=>dout<="0110000";when"0010"=>dout<="1101101";when"0100"=>dout<="1111001";when"1000"=>dout<="0110011";whenothers=>dout<="1111110";end

6、case;endprocess;a<=dout(6);b<=dout(5);c<=dout(4);d<=dout(3);e<=dout(2);f<=dout(1);g<=dout(0);endarc_xianshi;编译通过后,生成xianshisym,供顶层文件调用。四、顶层文件设计通过调用前面的qdq.sym与xianshisym来实现顶层文件的设计,将功能1所对应的4个输出引脚QA、QB、QC、QD分别对应显示输入的d0、d1、d2、d3,显示的输出接到LCD显示器。顶层文件结构如下图所示:五、顶层文件仿真与下载对顶

7、层文件进行仿真,在250ns时1号选手有输入,可以看出显示码为“0110000”,显示为1,仿真结果正确。仿真结果如下图所示:5下载时,时钟clk选取4MHz内部时针源,clr连接电平方式的按键,aa、bb、cc、dd连接4个脉冲方式的按键,a、b、c、d、e、f、g分别连接LCD显示器的7个引脚。如下图所示:六、结束语5采用EDA技术使得设计人员除系统级设计、行为级描述及对功能的描述以外均可由计算机自动完成,而将注意力集中在电子系统的总体开发上。这样,大大减轻了工作人员的工作量,提高了设计效率,减少了以往复杂的工序,缩短

8、了开发周期,实现了真正意义上的EDA。本文设计的4位电子抢答器运行稳定,可靠性达到设计要求,分辨力较高,且无论是前面的锁存还是后面的显示都具有很大的拓展空间,可以很方便地得到拓展。参 考 文 献[1] 番松,赵敏笑.EDA技术及其应用.北京:科学出版社,2007[2] 卢毅,赖杰..VHDL与数字电路设

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。