数字逻辑电路大型实验-4位数字频率计

数字逻辑电路大型实验-4位数字频率计

ID:13289485

大小:1.55 MB

页数:7页

时间:2018-07-21

数字逻辑电路大型实验-4位数字频率计_第1页
数字逻辑电路大型实验-4位数字频率计_第2页
数字逻辑电路大型实验-4位数字频率计_第3页
数字逻辑电路大型实验-4位数字频率计_第4页
数字逻辑电路大型实验-4位数字频率计_第5页
资源描述:

《数字逻辑电路大型实验-4位数字频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、4位数字频率计一、实验內容用PLD设计一4位数字频率计,测量范围为0-9999Hz,设被测信号为方波,幅值已满足要求。二、数字频率计的设计1.工作原理:数字频率计的原理框图如下图所示。当闸门信号(宽度为1S的正脉冲)到来时,闸门开通,被测信号通过闸门送到计数器,计数器开始计数,当闸门信号结束时,计数器停止计数。由于闸门开通时间为1S,计数器的计数值就是被测信号频率。为了使测得的频率值准确,在闸门开通之前,计数器必须清零。为了使显示电路稳定地显示频率值,在计数器和显示电路之间加了锁存器,当计数器计数结束时,将计数值通过锁存信号送到锁存器。控制电路在时基电路

2、的控制下产生三个信号:闸门信号、锁存信号和清零信号。2.数字频率计原理图:(上图:原理框图;下图:原理图)3.CNT10、CNT12、CODE、LOCK模块的VHDL语言程序;―――――――――――――― CNT10 SRC ――――――――――――――libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt10isport(clk:instd_logic;clr:instd_logic;cs:instd_logic;qq:bufferstd_logic

3、_vector(3downto0);co:outstd_logic);endcnt10;architectureoneofcnt10isbeginprocess(clk,clr,cs)beginif(clr='1')thenqq<="0000";elsif(clk'eventandclk='1')thenif(cs='1')thenif(qq=9)then qq<="0000";else qq<=qq+1;endif;endif;endif;endprocess;process(qq)beginif(qq=9)then co<='0';else co<=

4、'1';endif;endprocess;endone;―――――――――――――― CNT12 SRC ――――――――――――――libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt12isport(clk:instd_logic;qq:bufferstd_logic_vector(3downto0));endcnt12;architectureoneofcnt12isbeginprocess(clk)beginif(clk'eventandcl

5、k='1')thenif(qq=11)then qq<="0000";else qq<=qq+1;endif;endif;endprocess;endone;―――――――――――――― CODESRC ――――――――――――――libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycodeisport(dd:instd_logic_vector(3downto0);cs:outstd_logic;clr:outstd_logic;lock:outstd_

6、logic);endcode;architectureoneofcodeisbeginprocess(dd)beginif(dd=0)then clr<='1';else clr<='0';endif;if(dd=11)then lock<='1';else lock<='0';endif;if((dd>0)and(dd<9))then cs<='1';else cs<='0';endif;endprocess;endone;―――――――――――――― LOCKSRC――――――――――――――libraryieee;useieee.std_logic

7、_1164.all;useieee.std_logic_unsigned.all;entitylockisport(clk:instd_logic;dd:instd_logic_vector(3downto0);qq:outstd_logic_vector(3downto0));endlock;architectureoneoflockisbeginprocess(clk,dd)beginif(clk'eventandclk='1')then qq<=dd;endif;endprocess;endone;4.CNT10、CNT12、CODE、LOCK模块

8、的仿真结果;(附原始记录)―――――――――――――――CNT10 仿真结果――

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。