基于lpm_rom的四位乘法器

基于lpm_rom的四位乘法器

ID:13921500

大小:1.15 MB

页数:11页

时间:2018-07-25

基于lpm_rom的四位乘法器_第1页
基于lpm_rom的四位乘法器_第2页
基于lpm_rom的四位乘法器_第3页
基于lpm_rom的四位乘法器_第4页
基于lpm_rom的四位乘法器_第5页
资源描述:

《基于lpm_rom的四位乘法器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、西北师范大学知行学院EDA技术基于LPM_ROM的四位乘法器姓名:CMC班级:08电本学号:02课题:基于LPM_ROM的四位乘法器1、设计要求:用QuartusII调用LPM_ROM(LibraryofParameterizedModule:参数可设置模块库)模块,实现一个四位乘法器。设计两个输入信号分别做两个乘数及一个输出信号做积,而实现四位乘法功能。2、设计目的:(1)理解LPM_ROM模块的原理;(2)掌握LPM_ROM调用的使用方法。(3)掌握mif文件的建立。(4)掌握时序仿真。3、设计步骤:(1)建立mif文件;(2)根据设计要求,画出电路图,并对LP

2、M_ROM模块进行设置;(3)对电路进行全速运行编译;(4)进行时序仿真;友情提示:设计文件下载请按住键盘Ctrl键点击此处(文件大小:691K)4、设计内容:I.Mif文件:编写mif文件,实现乘数和积的设置;II.电路图:调用LPM_ROM模块,对其进行设置。新建一个BlockDiagram文件,在空白处双击,弹出元件库symbol,输入lpm_rom,调出lpm_rom模块,如下图:图1(如果图小,无法看清,按ctrl,滚动鼠标滚动轮可放大)对LPM_ROM模块进行设置,如下图:图2图3图4图5添加输入、输出,如下图:图5图6图7图8图10III.时序仿真:建

3、立vwf文件,点击菜单栏的“view”-“UtilityWindows”-“NodeFinder”-“List”,列出引脚后,用鼠标托到vwf文件中,如下图:图9以上为设计文件,即:电路图、mif文件、时序仿真图。IV.Mif文件:5、运行结果:6、注意事项:如果在电路图设计好后,全速运行,会有错误提示,进行以下设置即可:点击菜单栏中的“view”-“UtilityWindows”-“ProjectNavgator”,左侧出现设置框,删除里面的其他文件,只留下plus.vhd(由于在此设计中将lpm_rom模块名称定义为了plus,所以生成的VHD文件也是plus.

4、vhd,如果你将lpm_rom模块定义为plus4,则此处的文件也为plus4.vhd)和plus4.bdf文件,如下图01,然后再点击“Assignments”-“Setting”,添加这两个文件,如下图02:图01图027、设计小结:LPM_ROM模块有着重要的作用,学会调用它非常重要。此次设计使我对LPM_ROM模块有了很深入的了解,对LPM_ROM、mif文件的使用更加熟悉。本次设计过程中,我经过了大量学习和试验,查阅了很多相关书籍,也在网上查找了很多资料,同时也向其他同学们请教,最终完成了此次设计。在此衷心感谢刘老师的悉心教导和同学们的热情帮助。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。