习题四位乘法器的设计

习题四位乘法器的设计

ID:34306012

大小:204.50 KB

页数:15页

时间:2019-03-05

习题四位乘法器的设计_第1页
习题四位乘法器的设计_第2页
习题四位乘法器的设计_第3页
习题四位乘法器的设计_第4页
习题四位乘法器的设计_第5页
资源描述:

《习题四位乘法器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、习题二、四位乘法器的设计n问题说明:n每个学生根据自己的对于乘法运算和乘法器设计的理解,进行乘法器电路的设计,并用FPGA实现之。仅要求能够实现四位BIT的乘法运算,其他不作约束,根据自己的理解和兴趣,自由定义。n设计实验要求:n1.各自自行定义和设计,互相要有差异化,说明自己的定义特征和设计思想,要求设计至少一种电路去实现.n2.对于自行设计有特色和原理说明详细的实验,即使实现结果有局部错误,也给予高分评价。n3.要求设计实验报告内容包括:设计定义说明、电路图、功能仿真和时序仿真图、实现后的有关资源利用等

2、REPORT文件内容摘要。图为:四位乘法器一个数的高四位与另一个数的低两位乘累加器图为:一位全加器图为:四位乘法器一个数的高四位与另一个数的高两位乘累加器图为:将四位二进制乘数与低两位二进制数相乘累加结果与另一组四位二进制乘数与高两位二进制数相乘累加结果进行相加,最后计算出四位与四位相乘的结果。功能仿真结果:通过原理图的输入,后经过功能上的仿真输出了以下原理图VHDL的功能实现代码。libraryieee;useieee.std_logic_1164.ALL;useieee.numeric_std.ALL;

3、--synopsystranslate_offlibraryUNISIM;useUNISIM.Vcomponents.ALL;--synopsystranslate_onentityfour_bit_mul3isport(a0:instd_logic;a1:instd_logic;a2:instd_logic;a3:instd_logic;b0:instd_logic;b1:instd_logic;b2:instd_logic;b3:instd_logic;clk:instd_logic;clr:instd

4、_logic;S1:outstd_logic;s2:outstd_logic;s3:outstd_logic;s4:outstd_logic;s5:outstd_logic;s6:outstd_logic;s7:outstd_logic;s8:outstd_logic);endfour_bit_mul3;architectureBEHAVIORALoffour_bit_mul3isattributeBOX_TYPE:string;signalXLXN_68:std_logic;signalXLXN_101:

5、std_logic;signalXLXN_103:std_logic;signalXLXN_104:std_logic;signalXLXN_105:std_logic;signalXLXN_106:std_logic;signalXLXN_107:std_logic;signalXLXN_108:std_logic;signalXLXN_109:std_logic;signalXLXN_110:std_logic;signalXLXN_119:std_logic;signalXLXN_120:std_lo

6、gic;signalXLXN_129:std_logic;signalXLXN_130:std_logic;signalXLXN_131:std_logic;componentfourbit_mulport(clr:instd_logic;a3:instd_logic;a2:instd_logic;a1:instd_logic;b0:instd_logic;b1:instd_logic;clk:instd_logic;a0:instd_logic;out1:outstd_logic;out2:outstd_

7、logic;add1:outstd_logic;add2:outstd_logic;add3:outstd_logic;add4:outstd_logic);endcomponent;componentfoutbit_mul1port(clr:instd_logic;a1:instd_logic;a0:instd_logic;a2:instd_logic;a3:instd_logic;b2:instd_logic;b3:instd_logic;clk:instd_logic;add1_1:outstd_lo

8、gic;add2_1:outstd_logic;add3_1:outstd_logic;out8:outstd_logic;out9:outstd_logic;add4_1:outstd_logic);endcomponent;componentmulport(A:instd_logic;B:instd_logic;Cin:instd_logic;S:outstd_logic;Cout:outstd_logic)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。