eda技术课程设计-彩灯控制器设计

eda技术课程设计-彩灯控制器设计

ID:14378427

大小:705.73 KB

页数:19页

时间:2018-07-28

eda技术课程设计-彩灯控制器设计_第1页
eda技术课程设计-彩灯控制器设计_第2页
eda技术课程设计-彩灯控制器设计_第3页
eda技术课程设计-彩灯控制器设计_第4页
eda技术课程设计-彩灯控制器设计_第5页
资源描述:

《eda技术课程设计-彩灯控制器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术课程设计课题:彩灯控制器的设计系别:专业:姓名:学号:指导教师:河南城建学院2011年6月24日19成绩评定·一、指导教师评语(根据学生设计报告质量、答辩情况及其平时表现综合评定)。二、评分(按下表要求评定)评分项目设计报告评分答辩评分平时表现评分合计(100分)任务完成情况(20分)课程设计报告质量(40分)表达情况(10分)回答问题情况(10分)工作态度与纪律(10分)独立工作能力(10分)得分课程设计成绩评定班级姓名学号成绩:分(折合等级)指导教师签字年月日19目录一、设计目的:3二、设计要求:3三、总体设计原理与内容4四、EDA程序设计及波形仿真分析51、时

2、序模块52、显示模块8五、硬件实现10六、设计总结111、设计过程中遇到的问题及解决方法112、设计体会113、对设计的建议12七、参考文献12附录:设计生成的电路图1219一、设计目的:本程序以计算机为工作平台,综合运用EDA软件工具开发环境、用硬件描述语言VHDL为设计语言,以ASIC来实现载体的设计。通过在EDA中的编译和处理、仿真下载、调试分析,接受一次电子设计蓝领初步的设计训练,并使所学的知识和技能得到进一步巩固、深化和扩展,以达到可以运用EDA工具设计一些简单的电子产品,掌握使用EDA工具设计数字系统的设计思想和设计方法,为继续学习和认识电子设计知识打下良好的基础

3、。培养利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,积累实际的EDA编程。通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践。培养综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。二、设计要求:1、用EDA技术设计一个彩灯控制器,使彩灯(LED管)能连续发出三种以上不同的花型(自拟);2、随着彩灯显示图案的变化,发出不同的音响声。3、要求使用7段数码管显示当前显示的花型,如第一种花型显示A1,第二种花型显示b2,第三种花型显示C3

4、。三、总体设计原理与内容彩灯控制器的设计原理分析:此设计的要求是连续发出三种以上的花型且显示不同花型的时候发出不同的响声。可以由此推测:如果要发出不同的响声,必定需要由不同的输出频率来实现,而显示部分可以使用计数扫描的方法得以实现,但是如果想听到清晰的声音,必然要加大输入频率,但输入频率的增加,必然导致显示部分花型变换的频率就会相应的增加,以致花型变换过快,显示不明显。解决办法:如果利用分频器,实现频率的改变,既可以发出不同的声音,又可以满足花型变换过快的问题。综上,此设计的核心是分频器的使用。19具体设计方案的选定:分频器部分的设计是利用计数器实现分频,将控制器外接的频率分

5、为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。显示部分的设计是利用分频器产生的低频信号记数,从而达到控制数码管显示时,不同花色之间变换的频率不至于太快。利用分频器输出的不同频率信号,可以在花型变换的时候控制扬声器发出不同的声音。图3—1设计方案总框图四、EDA程序设计及波形仿真分析1、时序模块libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityXHKZisport(clk:instd_logic;clr:instd_logic;clk1_1:

6、outstd_logic;clk2_1:outstd_logic;clk3_1:outstd_logic;clk4_1:outstd_logic);19endentity;architecturecaidengofXHKZissignalclk1:std_logic;signalclk2:std_logic;signalclk3:std_logic;signalclk4:std_logic;beginprocess(clk,clr)variablea:std_logic_vector(6downto0);beginifclr='1'then——复位信号为高电平,将CLK1置为

7、低电平clk1<='0';elsifclk'eventandclk='1'then——如果遇到时钟上升沿,进行计数ifa="1111100"then——如果计满“1111100”将a清零a:="0000000";——且将clk1置为高电平clk1<='1';else——否则计数继续a:=a+1;clk1<='0';endif;endif;endprocess;process(clk,clr)variableb:std_logic_vector(1downto0);beginifclr='1'then——

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。