fpga蜂鸣器发声控制设计

fpga蜂鸣器发声控制设计

ID:14849492

大小:61.50 KB

页数:3页

时间:2018-07-30

fpga蜂鸣器发声控制设计_第1页
fpga蜂鸣器发声控制设计_第2页
fpga蜂鸣器发声控制设计_第3页
资源描述:

《fpga蜂鸣器发声控制设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验一蜂鸣器实验【实验目的】1、理解蜂鸣器的工作原理。2、学习FPGA控制蜂鸣器的设计方法。【实验设备】1、带有quartusII软件的PC机一台。2、FPGA实验箱以及电源线下载线。【实验要求】1、理解蜂鸣器原理。2、实现按键控制蜂鸣器音调改变。【实验原理】1、蜂鸣器原理:蜂鸣器的原理是非常简单的,我们所要了解的就是它能在不同频率脉冲下产生不同的音调,我们听到的音调一般在350HZ左右,而我们开发板的时钟频率是50MHZ,所以事先我们先进行分频,本实验中我们根据50000000/350,来大致确定分频系数,我进行了120000分频,同学们在可听到的声音范围内可自由设置分

2、频系数;设置通过按键来调节声音的频率,来改变音调,本实验中每次调节最少使分频系数增加10000,这样使音调变化比较明显。图Error!Notextofspecifiedstyleindocument..1蜂鸣器连接图。图Error!Notextofspecifiedstyleindocument..1蜂鸣器连接图如图所示,蜂鸣器的正极接到VCC(+5V)电源上面,蜂鸣器的负极接到三极管的发射极E,三极管的基级B经过限流电阻后由FPGA的引脚控制,当IO口输出高电平时,三极管截止,没有电流流过线圈,蜂鸣器不发声;当输出低电平时,三极管导通,这样蜂鸣器的电流形成回路,发出声音

3、。程序中改变FPGA的IO输出波形的频率,就可以调整控制蜂鸣器音调。另外,改变IO输出电平的高低电平占空比,则可以控制蜂鸣器的声音大小,本实验我们仅改变音调,不改变声音大小。1、源码:modulebeep(clk,key,beep);inputclk;inputkey;outputbeep;regbeep;reg[20:0]count;reg[20:0]n=120000;always@(posedgeclk)beginif(n==21'b111111111111111111111)n<=120000;elsecase(key)1'b0:beginn=n+10000;1'b

4、1:n=n;default:n=n;endcaseendalways@(posedgeclk)beginif(count

5、,key,beep);inputclk;inputkey;outputbeep;regbeep;reg[28:0]count;reg[28:0]n=120000;always@(posedgeclk)beginif(n==21'b111111111111111111111)n<=120000;elsecase(key)1'b0:n=n+10000;1'b1:n=n;default:n=n;endcaseendalways@(posedgeclk)beginif(count

6、'b0;beep<=~beep;endendendmodule

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。